Incorporating PVT Variations in System-Level Power Exploration of On-Chip Communication Architectures

S. Pasricha, Young-Hwan Park, F. Kurdahi, N. Dutt
{"title":"Incorporating PVT Variations in System-Level Power Exploration of On-Chip Communication Architectures","authors":"S. Pasricha, Young-Hwan Park, F. Kurdahi, N. Dutt","doi":"10.1109/VLSI.2008.14","DOIUrl":null,"url":null,"abstract":"With the shift towards deep sub-micron (DSM) technologies, the increase in leakage power and the adoption of power-aware design methodologies have resulted in potentially significant variations in power consumption under different process, voltage and temperature (PVT) corners. In this paper, we first investigate the impact of PVT corners on power consumption at the System-on-Chip (SoC) level, especially for the on-chip communication infrastructure. Given a target technology library, we then show how it is possible to \"scale up\" and abstract the PVT variability at the system level, allowing characterization of the PVT-aware design space early in the design flow. We conducted several experiments to estimate power for PVT corner cases, at the gate-level, as well as at the higher system-level. Our preliminary results are very interesting and indicate that: (i) there are significant variations in power consumption across PVT corners, and (ii) the PVT-aware power estimation problem may be amenable to a reasonably simple abstraction at the system-level.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"9","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"21st International Conference on VLSI Design (VLSID 2008)","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1109/VLSI.2008.14","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 9

Abstract

With the shift towards deep sub-micron (DSM) technologies, the increase in leakage power and the adoption of power-aware design methodologies have resulted in potentially significant variations in power consumption under different process, voltage and temperature (PVT) corners. In this paper, we first investigate the impact of PVT corners on power consumption at the System-on-Chip (SoC) level, especially for the on-chip communication infrastructure. Given a target technology library, we then show how it is possible to "scale up" and abstract the PVT variability at the system level, allowing characterization of the PVT-aware design space early in the design flow. We conducted several experiments to estimate power for PVT corner cases, at the gate-level, as well as at the higher system-level. Our preliminary results are very interesting and indicate that: (i) there are significant variations in power consumption across PVT corners, and (ii) the PVT-aware power estimation problem may be amenable to a reasonably simple abstraction at the system-level.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
在片上通信架构的系统级功率探索中纳入PVT变化
随着向深亚微米(DSM)技术的转变,泄漏功率的增加和功耗感知设计方法的采用导致了不同工艺、电压和温度(PVT)角下功耗的潜在显著变化。在本文中,我们首先研究了PVT角对片上系统(SoC)级功耗的影响,特别是对片上通信基础设施的影响。给定一个目标技术库,然后我们展示了如何在系统级别“扩展”和抽象PVT可变性,从而允许在设计流程的早期对PVT感知的设计空间进行表征。我们进行了几个实验来估计PVT在门级和更高的系统级边缘情况下的功率。我们的初步结果非常有趣,并表明:(i) PVT各个角落的功耗存在显著差异,(ii) PVT感知功率估计问题可能适用于系统级的合理简单抽象。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Memory Design and Advanced Semiconductor Technology A Robust Architecture for Flip-Flops Tolerant to Soft-Errors and Transients from Combinational Circuits IEEE Market-Oriented Standards Process and the EDA Industry Concurrent Multi-Dimensional Adaptation for Low-Power Operation in Wireless Devices MoCSYS: A Multi-Clock Hybrid Two-Layer Router Architecture and Integrated Topology Synthesis Framework for System-Level Design of FPGA Based On-Chip Networks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1