Innovation on Line Cut Methods of Self-aligned Multiple Patterning

Jeff Shu, Stone Break Rd Extension Malta Ny Globalfoundries
{"title":"Innovation on Line Cut Methods of Self-aligned Multiple Patterning","authors":"Jeff Shu, Stone Break Rd Extension Malta Ny Globalfoundries","doi":"10.33079/jomm.19020301","DOIUrl":null,"url":null,"abstract":"Abstract: Self-aligned multiple patterning (SAMP) can enable the semiconductor scaling before EUV lithography becomes mature for industry use. Theoretically any small size of pitch can be achieved by repeating SADP on same wafer but with challenges of pitch walking and line cut since line cut has to be done by lithography instead of self-aligned method. Line cut can become an issue at sub-30nm pitch due to edge placement error (EPE). In this paper we will discuss some recent novel ideas on line cut after self-aligned multiple patterning.","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"1","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"微电子制造学报","FirstCategoryId":"1089","ListUrlMain":"https://doi.org/10.33079/jomm.19020301","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 1

Abstract

Abstract: Self-aligned multiple patterning (SAMP) can enable the semiconductor scaling before EUV lithography becomes mature for industry use. Theoretically any small size of pitch can be achieved by repeating SADP on same wafer but with challenges of pitch walking and line cut since line cut has to be done by lithography instead of self-aligned method. Line cut can become an issue at sub-30nm pitch due to edge placement error (EPE). In this paper we will discuss some recent novel ideas on line cut after self-aligned multiple patterning.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
自对齐多图形线切方法的创新
摘要:自对准多模式(SAMP)技术可以在EUV光刻技术成熟之前实现半导体微缩。从理论上讲,任何小尺寸的节距都可以通过在同一晶圆上重复SADP来实现,但由于节距行走和线切割必须通过光刻而不是自对准方法来完成,因此存在挑战。由于边缘放置误差(EPE),线切割可能成为30nm以下间距的问题。本文讨论了近年来关于自对齐多重图纹后线切的一些新思想。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
46
审稿时长
4 weeks
期刊最新文献
Improvement of Environment Stability of an i-Line Chemically Amplified Photoresist Patterning with Organized Molecules New Progress of China's Integrated Circuit Design Industry Nano-Electronic Simulation Software (NESS): A Novel Open-Source TCAD Simulation Environment Influence of Chemical Stability on the Fabrication of MnGa-based Devices
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1