Designing customized MIPS soft-core and configuring it at run time

P. Bhor, R. Arokia Priya, P. Malathi
{"title":"Designing customized MIPS soft-core and configuring it at run time","authors":"P. Bhor, R. Arokia Priya, P. Malathi","doi":"10.1109/EIC.2015.7230733","DOIUrl":null,"url":null,"abstract":"Soft-core processor's implemented on an FPGA are now days becoming very economical. These can be customized according to special needs and demands. Customization according to the application can be done using soft-core's. But there exists a lot of overhead in reimplementing and downloading the core again to the FPGA, if in case any changes are required in the code. Hence a new technique to overcome this drawback is proposed here. This system is made up of three vital blocks. First is the soft-core UART. Second is the tool for writing assembly code at the user end. Third is the processor coded in verilog on an FPGA. The GUI will compile the assembly code and will send it through UART to the FPGA, where the processor is implemented. This way the processor can be loaded at run time.","PeriodicalId":101532,"journal":{"name":"2014 International Conference on Advances in Communication and Computing Technologies (ICACACT 2014)","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2015-09-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"2014 International Conference on Advances in Communication and Computing Technologies (ICACACT 2014)","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1109/EIC.2015.7230733","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

Abstract

Soft-core processor's implemented on an FPGA are now days becoming very economical. These can be customized according to special needs and demands. Customization according to the application can be done using soft-core's. But there exists a lot of overhead in reimplementing and downloading the core again to the FPGA, if in case any changes are required in the code. Hence a new technique to overcome this drawback is proposed here. This system is made up of three vital blocks. First is the soft-core UART. Second is the tool for writing assembly code at the user end. Third is the processor coded in verilog on an FPGA. The GUI will compile the assembly code and will send it through UART to the FPGA, where the processor is implemented. This way the processor can be loaded at run time.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
设计定制的MIPS软核并在运行时进行配置
在FPGA上实现的软核处理器现在变得非常经济。这些可以根据特殊需要和要求定制。根据应用程序的定制可以使用软核完成。但是,如果需要对代码进行任何更改,则在重新实现和再次将核心下载到FPGA中存在大量开销。因此,本文提出了一种克服这一缺点的新技术。这个系统由三个重要部分组成。首先是软核UART。第二种是在用户端编写汇编代码的工具。第三种是在FPGA上用verilog编码的处理器。GUI将编译汇编代码,并将其通过UART发送到FPGA,处理器在FPGA中实现。这样就可以在运行时加载处理器。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Designing customized MIPS soft-core and configuring it at run time Image inpainting on satellite image using texture synthesis & region filling algorithm Image compression using calic Segmentation of brain MR image using fuzzy local Gaussian mixture model Comprehensive analysis of various Energy detection parameters in spectrum sensing for cognitive radio systems
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1