Clock clustering and IO optimization for 3D integration

Samyoung Bang, Kwangsoo Han, A. Kahng, V. Srinivas
{"title":"Clock clustering and IO optimization for 3D integration","authors":"Samyoung Bang, Kwangsoo Han, A. Kahng, V. Srinivas","doi":"10.1109/SLIP.2015.7171709","DOIUrl":null,"url":null,"abstract":"3D interconnect between two dies can span a wide range of bandwidths and region areas, depending on the application, partitioning of the dies, die size, and floorplan. We explore the concept of dividing such an interconnect into local clusters, each with a cluster clock. We combine such clustering with a choice of three clock synchronization schemes (synchronous, source-synchronous, asynchronous) and study impacts on power, area and timing of the clock tree, data path and 3DIO. We build a model for the power, area and timing as a function of key system requirements and constraints: total bandwidth, region area, number of clusters, clock synchronization scheme, and 3DIO frequency. Such a model enables architects to perform pathfinding exploration of clocking and IO power, area and bandwidth optimization for 3D integration.","PeriodicalId":431489,"journal":{"name":"2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2015-06-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"2","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1109/SLIP.2015.7171709","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 2

Abstract

3D interconnect between two dies can span a wide range of bandwidths and region areas, depending on the application, partitioning of the dies, die size, and floorplan. We explore the concept of dividing such an interconnect into local clusters, each with a cluster clock. We combine such clustering with a choice of three clock synchronization schemes (synchronous, source-synchronous, asynchronous) and study impacts on power, area and timing of the clock tree, data path and 3DIO. We build a model for the power, area and timing as a function of key system requirements and constraints: total bandwidth, region area, number of clusters, clock synchronization scheme, and 3DIO frequency. Such a model enables architects to perform pathfinding exploration of clocking and IO power, area and bandwidth optimization for 3D integration.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
时钟集群和IO优化的3D集成
两个模具之间的3D互连可以跨越广泛的带宽和区域区域,这取决于应用,模具的划分,模具尺寸和平面图。我们探索了将这样的互连划分为本地集群的概念,每个集群都有一个集群时钟。我们将这种聚类与三种时钟同步方案(同步、源同步、异步)的选择结合起来,研究对时钟树、数据路径和3DIO的功率、面积和时序的影响。我们建立了功耗、面积和时序的模型,作为关键系统需求和约束的函数:总带宽、区域面积、集群数量、时钟同步方案和3DIO频率。这样的模型使架构师能够为3D集成执行时钟和IO功率,面积和带宽优化的寻路探索。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Compact modeling and system implications of microring modulators in nanophotonic interconnects On fast timing closure: speeding up incremental path-based timing analysis with mapreduce Multi-product floorplan and uncore design framework for chip multiprocessors SI for free: machine learning of interconnect coupling delay and transition effects Clock clustering and IO optimization for 3D integration
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1