Plasma dicing before grinding process for highly reliable singulation of low-profile and large die sizes in advanced packages

IF 4.7 Q2 NANOSCIENCE & NANOTECHNOLOGY Micro and Nano Systems Letters Pub Date : 2023-11-18 DOI:10.1186/s40486-023-00183-w
Keunhoi Kim, Jongcheol Park, Kyoungmin Kim, TaeHyun Kim, SooHyun Kwon, Yeeun Na
{"title":"Plasma dicing before grinding process for highly reliable singulation of low-profile and large die sizes in advanced packages","authors":"Keunhoi Kim,&nbsp;Jongcheol Park,&nbsp;Kyoungmin Kim,&nbsp;TaeHyun Kim,&nbsp;SooHyun Kwon,&nbsp;Yeeun Na","doi":"10.1186/s40486-023-00183-w","DOIUrl":null,"url":null,"abstract":"<div><p>The demand for advanced packaging is driven by the need for low-profile, densely-integrated, large-die Si devices in substrate-based or wafer-level packaging. Die strength is a critical parameter for ultrathin dies, making die singulation a vital aspect of advanced packaging technology. In this work, we present a dicing before grinding (DBG) process to compare and analyze die strengths using a mechanical blade, stealth laser, and plasma dicing. The three DBG processes were applied to a 200 mm silicon (Si) wafer process with a die size of 10 × 10 mm<sup>2</sup> and thicknesses of 100, 200, and 300 μm, respectively. Optical and electron microscopes were employed to investigate chipping quality, sidewall damage, and surface contamination. The bare Si die’s strength was assessed using a three-point bending test. Plasma dicing before grinding (PDBG) resulted in less contamination, chipping, and cracking compared to other DBG processes. Furthermore, PDBG exhibited the highest die strength of 1052 Pa.</p></div>","PeriodicalId":704,"journal":{"name":"Micro and Nano Systems Letters","volume":null,"pages":null},"PeriodicalIF":4.7000,"publicationDate":"2023-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://mnsl-journal.springeropen.com/counter/pdf/10.1186/s40486-023-00183-w","citationCount":"0","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Micro and Nano Systems Letters","FirstCategoryId":"1085","ListUrlMain":"https://link.springer.com/article/10.1186/s40486-023-00183-w","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"Q2","JCRName":"NANOSCIENCE & NANOTECHNOLOGY","Score":null,"Total":0}
引用次数: 0

Abstract

The demand for advanced packaging is driven by the need for low-profile, densely-integrated, large-die Si devices in substrate-based or wafer-level packaging. Die strength is a critical parameter for ultrathin dies, making die singulation a vital aspect of advanced packaging technology. In this work, we present a dicing before grinding (DBG) process to compare and analyze die strengths using a mechanical blade, stealth laser, and plasma dicing. The three DBG processes were applied to a 200 mm silicon (Si) wafer process with a die size of 10 × 10 mm2 and thicknesses of 100, 200, and 300 μm, respectively. Optical and electron microscopes were employed to investigate chipping quality, sidewall damage, and surface contamination. The bare Si die’s strength was assessed using a three-point bending test. Plasma dicing before grinding (PDBG) resulted in less contamination, chipping, and cracking compared to other DBG processes. Furthermore, PDBG exhibited the highest die strength of 1052 Pa.

查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
在研磨前进行等离子切割,可在先进封装中实现低轮廓和大尺寸模具的高可靠性模拟
对先进封装的需求是由对基于基板或晶圆级封装的低轮廓、密集集成、大芯片Si器件的需求驱动的。模具强度是超薄模具的关键参数,使模具仿真成为先进封装技术的一个重要方面。在这项工作中,我们提出了一种研磨前切割(DBG)工艺,以比较和分析机械刀片、隐形激光和等离子切割的模具强度。这三种DBG工艺分别应用于200 mm硅(Si)晶圆工艺,其芯片尺寸为10 × 10 mm2,厚度分别为100、200和300 μm。采用光学显微镜和电子显微镜观察切屑质量、侧壁损伤和表面污染。采用三点弯曲试验评估裸硅模的强度。与其他的等离子切割工艺相比,在研磨前等离子切割(PDBG)可以减少污染、碎裂和开裂。PDBG的模具强度最高,为1052 Pa。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
Micro and Nano Systems Letters
Micro and Nano Systems Letters Engineering-Biomedical Engineering
CiteScore
10.60
自引率
5.60%
发文量
16
审稿时长
13 weeks
期刊最新文献
A study on chromium thin film with positive photoresist as a masking layer towards the wet bulk micromachining of Borofloat glass Review on micro-gas chromatography system for analysis of multiple low-concentration volatile organic compounds: preconcentration, separation, detection, integration, and challenges Design of enlarged phononic bandgap 2.5D acoustic resonator via active learning and non-gradient optimization Haptic interface with multimodal tactile sensing and feedback for human–robot interaction Possibility of large-area carbon nanotube films formation through spray coating
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1