Rsyn: An Extensible Physical Synthesis Framework

G. Flach, Mateus Fogaça, Jucemar Monteiro, M. Johann, R. Reis
{"title":"Rsyn: An Extensible Physical Synthesis Framework","authors":"G. Flach, Mateus Fogaça, Jucemar Monteiro, M. Johann, R. Reis","doi":"10.1145/3036669.3038249","DOIUrl":null,"url":null,"abstract":"Due to the advanced stage of development on EDA science, it has been increasingly difficult to implement realistic software infrastructures in academia so that new problems and solutions are tested in a meaningful and consistent way. In this paper we present Rsyn, a free and open-source C++ framework for physical synthesis research and development comprising an elegant netlist data model, analysis tools (e.g. timing analysis, congestion), optimization methods (e.g. placement, sizing, buffering) and a graphical user interface. It is designed to be very modular and incrementally extensible. New components can be easily integrated making Rsyn increasingly valuable as a framework to leverage research in physical design. Standard and third party components can be mixed together via code or script language to create a comprehensive design flow, which can be used to better assess the quality of results of the research being conducted. The netlist data model uses the new features of C++11 providing a simple but efficient way to traverse and modify the netlist. Attributes can be seamlessly added to objects and a notification system alerts components about changes in the netlist. The flexibility of the netlist inspired the name Rsyn, which comes from the word resynthesis. Rsyn is created to allow researchers to focus on what is really important to their research spending less time on the infrastructure development. Allowing the sharing and reusability of common components is also one of the main contributions of the Rsyn framework. In this paper, the key concepts of Rsyn are presented. Examples of use are drawn, the important standard components (e.g. physical layer, timing) are detailed and some case studies based on recent Electronic Design Automation (EDA) contests are analyzed. Rsyn is available at http://rsyn.design.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"19","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Proceedings of the 2017 ACM on International Symposium on Physical Design","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1145/3036669.3038249","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 19

Abstract

Due to the advanced stage of development on EDA science, it has been increasingly difficult to implement realistic software infrastructures in academia so that new problems and solutions are tested in a meaningful and consistent way. In this paper we present Rsyn, a free and open-source C++ framework for physical synthesis research and development comprising an elegant netlist data model, analysis tools (e.g. timing analysis, congestion), optimization methods (e.g. placement, sizing, buffering) and a graphical user interface. It is designed to be very modular and incrementally extensible. New components can be easily integrated making Rsyn increasingly valuable as a framework to leverage research in physical design. Standard and third party components can be mixed together via code or script language to create a comprehensive design flow, which can be used to better assess the quality of results of the research being conducted. The netlist data model uses the new features of C++11 providing a simple but efficient way to traverse and modify the netlist. Attributes can be seamlessly added to objects and a notification system alerts components about changes in the netlist. The flexibility of the netlist inspired the name Rsyn, which comes from the word resynthesis. Rsyn is created to allow researchers to focus on what is really important to their research spending less time on the infrastructure development. Allowing the sharing and reusability of common components is also one of the main contributions of the Rsyn framework. In this paper, the key concepts of Rsyn are presented. Examples of use are drawn, the important standard components (e.g. physical layer, timing) are detailed and some case studies based on recent Electronic Design Automation (EDA) contests are analyzed. Rsyn is available at http://rsyn.design.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
Rsyn:一个可扩展的物理合成框架
由于EDA科学发展的高度发展,学术界越来越难以实现现实的软件基础设施,以便以有意义和一致的方式测试新问题和解决方案。在本文中,我们介绍了Rsyn,一个用于物理合成研究和开发的免费开源c++框架,包括一个优雅的网表数据模型,分析工具(例如定时分析,拥塞),优化方法(例如放置,大小,缓冲)和图形用户界面。它被设计为非常模块化和增量可扩展的。新的组件可以很容易地集成,这使得Rsyn作为一个框架越来越有价值,可以利用物理设计方面的研究。标准组件和第三方组件可以通过代码或脚本语言混合在一起,以创建一个全面的设计流程,可以用来更好地评估正在进行的研究结果的质量。网络列表数据模型使用c++ 11的新特性,提供了一种简单而有效的遍历和修改网络列表的方法。属性可以无缝地添加到对象中,并且通知系统会向组件发出有关网络列表更改的警报。网络列表的灵活性激发了Rsyn这个名字的灵感,这个名字来自单词resynthesis。Rsyn的创建是为了让研究人员能够专注于对他们的研究真正重要的东西,而在基础设施开发上花费更少的时间。允许共享和重用公共组件也是Rsyn框架的主要贡献之一。本文介绍了Rsyn的关键概念。绘制了使用实例,详细介绍了重要的标准组件(如物理层、定时),并分析了基于最近电子设计自动化(EDA)竞赛的一些案例研究。Rsyn可以在http://rsyn.design上获得。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Hierarchical and Analytical Placement Techniques for High-Performance Analog Circuits Challenges and Opportunities: From Near-memory Computing to In-memory Computing Generalized Force Directed Relaxation with Optimal Regions and Its Applications to Circuit Placement Rsyn: An Extensible Physical Synthesis Framework The Spirit of in-house CAD Achieved by the Legend of Master "Prof. Goto" and his Apprentices
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1