首页 > 最新文献

Proceedings of the 2017 ACM on International Symposium on Physical Design最新文献

英文 中文
Automatic Cell Layout in the 7nm Era 7nm时代的自动单元布局
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036672
Pascal Cremer, S. Hougardy, Jan Schneider, Jannik Silvanus
Multi patterning technology used in 7nm technology and beyond imposes more and more complex design rules on the layout of cells. The often non local nature of these new design rules is a great challenge not only for human designers but also for existing algorithms. We present a new flow for the automatic cell layout that is able to deal with these challenges by globally optimizing several design objectives simultaneously. Our transistor placement algorithm not only minimizes the total cell area but simultaneously optimizes the routability of the cell and finds a best folding of the transistors. Our routing engine computes a detailed routing of all nets simultaneously. In a first step it computes an electrically correct routing using a mixed integer programming formulation. To improve yield and optimize DFM, additional constraints are added to this model. We present experimental results on current 7nm designs. Our approach allows to compute optimized layouts within a few minutes, even for large complex cells. Our algorithms are currently used for the design of 7nm cells at a leading chip manufacturer where they improved manufacturability and led to reduced turnaround times.
7nm及以上工艺中采用的多模式技术对电池的布局提出了越来越复杂的设计规则。这些新的设计规则往往是非局部的,这不仅对人类设计师来说是一个巨大的挑战,而且对现有的算法也是一个巨大的挑战。我们提出了一种新的自动单元布局流程,能够通过同时全局优化多个设计目标来应对这些挑战。我们的晶体管放置算法不仅使电池的总面积最小化,同时优化了电池的可达性,并找到了晶体管的最佳折叠方式。我们的路由引擎同时计算所有网络的详细路由。在第一步中,它使用混合整数规划公式计算电正确路由。为了提高产量和优化DFM,在该模型中添加了额外的约束。我们给出了当前7nm设计的实验结果。我们的方法允许在几分钟内计算优化布局,即使是大型复杂的单元。我们的算法目前被一家领先的芯片制造商用于7nm电池的设计,提高了可制造性,缩短了周转时间。
{"title":"Automatic Cell Layout in the 7nm Era","authors":"Pascal Cremer, S. Hougardy, Jan Schneider, Jannik Silvanus","doi":"10.1145/3036669.3036672","DOIUrl":"https://doi.org/10.1145/3036669.3036672","url":null,"abstract":"Multi patterning technology used in 7nm technology and beyond imposes more and more complex design rules on the layout of cells. The often non local nature of these new design rules is a great challenge not only for human designers but also for existing algorithms. We present a new flow for the automatic cell layout that is able to deal with these challenges by globally optimizing several design objectives simultaneously. Our transistor placement algorithm not only minimizes the total cell area but simultaneously optimizes the routability of the cell and finds a best folding of the transistors. Our routing engine computes a detailed routing of all nets simultaneously. In a first step it computes an electrically correct routing using a mixed integer programming formulation. To improve yield and optimize DFM, additional constraints are added to this model. We present experimental results on current 7nm designs. Our approach allows to compute optimized layouts within a few minutes, even for large complex cells. Our algorithms are currently used for the design of 7nm cells at a leading chip manufacturer where they improved manufacturability and led to reduced turnaround times.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117120839","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
An Effective Timing-Driven Detailed Placement Algorithm for FPGAs 一种有效的fpga时序驱动精细布局算法
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036682
Shounak Dhar, M. Iyer, Saurabh N. Adya, L. Singhal, N. Rubanov, D. Pan
In this paper, we propose a new timing-driven detailed placement technique for FPGAs based on optimizing critical paths. Our approach extends well beyond the previously known critical path optimization approaches and explores a significantly larger solution space. It is also complementary to single-net based timing optimization approaches. The new algorithm models the detailed placement improvement problem as a shortest path optimization problem, and optimizes the placement of all elements in the entire timing critical path simultaneously, while minimizing the costs of adjusting the placement of adjacent non-critical elements. Experimental results on industrial circuits using a modern FPGA device show an average placement clock frequency improvement of 4.5%.
本文提出了一种基于关键路径优化的fpga时序驱动精细布局技术。我们的方法远远超出了以前已知的关键路径优化方法,并探索了更大的解决方案空间。它也是对基于单网的时间优化方法的补充。该算法将详细的布局改进问题建模为最短路径优化问题,同时优化整个定时关键路径中所有元素的布局,同时使相邻非关键元素的布局调整成本最小化。在工业电路上使用现代FPGA器件的实验结果表明,平均放置时钟频率提高了4.5%。
{"title":"An Effective Timing-Driven Detailed Placement Algorithm for FPGAs","authors":"Shounak Dhar, M. Iyer, Saurabh N. Adya, L. Singhal, N. Rubanov, D. Pan","doi":"10.1145/3036669.3036682","DOIUrl":"https://doi.org/10.1145/3036669.3036682","url":null,"abstract":"In this paper, we propose a new timing-driven detailed placement technique for FPGAs based on optimizing critical paths. Our approach extends well beyond the previously known critical path optimization approaches and explores a significantly larger solution space. It is also complementary to single-net based timing optimization approaches. The new algorithm models the detailed placement improvement problem as a shortest path optimization problem, and optimizes the placement of all elements in the entire timing critical path simultaneously, while minimizing the costs of adjusting the placement of adjacent non-critical elements. Experimental results on industrial circuits using a modern FPGA device show an average placement clock frequency improvement of 4.5%.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126031685","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Bilinear Lithography Hotspot Detection 双线性光刻热点检测
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036673
Hang Zhang, Fengyuan Zhu, Haocheng Li, Evangeline F. Y. Young, Bei Yu
Advanced semiconductor process technologies are producing various circuit layout patterns, and it is essential to detect and eliminate problematic ones, which are called lithography hotspots. These hotspots are formed due to light diffraction and interference, which induces complex intrinsic structures within the formation process. Though various machine learning based methods have been proposed for this problem, most of them cannot capture the intrinsic structure of each data. In this paper, we propose a novel feature extraction by representing each data sample in matrix form. We argue that this method can well preserve the intrinsic feature of each sample, leading to better performance.We then further propose a bilinear lithography hotspot detector, which can tackle data in matrix form directly to preserve the hidden structural correlations in the lithography process. Experimental results show that the proposed method outperforms state-of-the-art ones with remarkably large margin in both false alarms and runtime, with 98.16% detection accuracy.
先进的半导体工艺技术正在产生各种各样的电路布局,检测和消除有问题的电路布局是至关重要的,这些电路布局被称为光刻热点。这些热点是由于光的衍射和干涉而形成的,在形成过程中产生了复杂的本征结构。尽管针对该问题提出了各种基于机器学习的方法,但大多数方法都无法捕获每个数据的内在结构。在本文中,我们提出了一种新的特征提取方法,即用矩阵形式表示每个数据样本。我们认为这种方法可以很好地保留每个样本的固有特征,从而获得更好的性能。然后,我们进一步提出了一种双线性光刻热点检测器,它可以直接处理矩阵形式的数据,以保持光刻过程中隐藏的结构相关性。实验结果表明,该方法在虚警和运行时间上均优于现有方法,检测准确率为98.16%。
{"title":"Bilinear Lithography Hotspot Detection","authors":"Hang Zhang, Fengyuan Zhu, Haocheng Li, Evangeline F. Y. Young, Bei Yu","doi":"10.1145/3036669.3036673","DOIUrl":"https://doi.org/10.1145/3036669.3036673","url":null,"abstract":"Advanced semiconductor process technologies are producing various circuit layout patterns, and it is essential to detect and eliminate problematic ones, which are called lithography hotspots. These hotspots are formed due to light diffraction and interference, which induces complex intrinsic structures within the formation process. Though various machine learning based methods have been proposed for this problem, most of them cannot capture the intrinsic structure of each data. In this paper, we propose a novel feature extraction by representing each data sample in matrix form. We argue that this method can well preserve the intrinsic feature of each sample, leading to better performance.We then further propose a bilinear lithography hotspot detector, which can tackle data in matrix form directly to preserve the hidden structural correlations in the lithography process. Experimental results show that the proposed method outperforms state-of-the-art ones with remarkably large margin in both false alarms and runtime, with 98.16% detection accuracy.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127996920","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
DSAR: DSA aware Routing with Simultaneous DSA Guiding Pattern and Double Patterning Assignment DSA导向模式与双模式同时分配的DSA感知路由
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036677
Jiaojiao Ou, Bei Yu, Xiaoqing Xu, Joydeep Mitra, Yibo Lin, D. Pan
Directed self-assembly (DSA) is a promising solution for fabrication of contacts and vias for advanced technology nodes. In this paper, we study a DSA aware detailed routing problem, where DSA guiding pattern assignment and guiding pattern double patterning (DP) compliance are resolved simultaneously. We propose a net planning technique, which pre-routes some nets based on their bounding box positions, to improve both metal layer and via layer qualities. We also introduce a new routing graph model with DSA and DP design rule considerations. The DSA and DP aware detailed routing is then performed based on the net planning result, followed by a post-routing optimization on DSA guiding pattern assignment and decomposition. The experimental result demonstrates that our proposed approach can achieve promising DSA and DP friendly layout, i.e., conflict free on DSA guiding pattern with double patterning assignment for via layer. In addition, our proposed detailed router is able to effectively reduce 20% via number and 15% total wirelength than one recent DSA aware detailed router.
定向自组装(DSA)是一种很有前途的解决方案,用于制造先进技术节点的接触和过孔。本文研究了一种DSA感知的详细路由问题,该问题同时解决了DSA引导模式分配和引导模式双模式(DP)遵从性问题。我们提出了一种网规划技术,该技术根据它们的边界框位置预先路由一些网,以提高金属层和通过层的质量。我们还引入了考虑DSA和DP设计规则的路由图模型。然后根据网络规划结果进行DSA和DP感知的详细路由,然后在DSA指导模式分配和分解的路由后优化。实验结果表明,本文提出的方法可以实现良好的DSA和DP友好布局,即在经过层双模式分配的DSA引导模式上无冲突。此外,我们提出的详细路由器能够有效地减少20%的数量和15%的总无线长度比最近的一个DSA感知详细路由器。
{"title":"DSAR: DSA aware Routing with Simultaneous DSA Guiding Pattern and Double Patterning Assignment","authors":"Jiaojiao Ou, Bei Yu, Xiaoqing Xu, Joydeep Mitra, Yibo Lin, D. Pan","doi":"10.1145/3036669.3036677","DOIUrl":"https://doi.org/10.1145/3036669.3036677","url":null,"abstract":"Directed self-assembly (DSA) is a promising solution for fabrication of contacts and vias for advanced technology nodes. In this paper, we study a DSA aware detailed routing problem, where DSA guiding pattern assignment and guiding pattern double patterning (DP) compliance are resolved simultaneously. We propose a net planning technique, which pre-routes some nets based on their bounding box positions, to improve both metal layer and via layer qualities. We also introduce a new routing graph model with DSA and DP design rule considerations. The DSA and DP aware detailed routing is then performed based on the net planning result, followed by a post-routing optimization on DSA guiding pattern assignment and decomposition. The experimental result demonstrates that our proposed approach can achieve promising DSA and DP friendly layout, i.e., conflict free on DSA guiding pattern with double patterning assignment for via layer. In addition, our proposed detailed router is able to effectively reduce 20% via number and 15% total wirelength than one recent DSA aware detailed router.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132539348","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Interesting Problems in Physical Synthesis 物理合成中的有趣问题
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038245
Pei-Hsin Ho
It is a misperception that the Chinese have the same word for crisis as opportunity. Despite that, a technical crisis does present opportunities for researchers and practitioners to solve interesting problems. In this talk we point out two crises: interconnect and runtime, we enumerate interesting physical-synthesis problems arising from these crises, and we discuss the possibility of employing machine learning and hardware acceleration techniques to attack those problems.
中国人把危机和机遇等同起来,这是一种误解。尽管如此,技术危机确实为研究人员和从业者提供了解决有趣问题的机会。在这次演讲中,我们指出了两个危机:互连和运行时,我们列举了从这些危机中产生的有趣的物理合成问题,我们讨论了使用机器学习和硬件加速技术来解决这些问题的可能性。
{"title":"Interesting Problems in Physical Synthesis","authors":"Pei-Hsin Ho","doi":"10.1145/3036669.3038245","DOIUrl":"https://doi.org/10.1145/3036669.3038245","url":null,"abstract":"It is a misperception that the Chinese have the same word for crisis as opportunity. Despite that, a technical crisis does present opportunities for researchers and practitioners to solve interesting problems. In this talk we point out two crises: interconnect and runtime, we enumerate interesting physical-synthesis problems arising from these crises, and we discuss the possibility of employing machine learning and hardware acceleration techniques to attack those problems.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122626649","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
The Spirit of in-house CAD Achieved by the Legend of Master "Prof. Goto" and his Apprentices “后藤教授”与徒弟传奇所成就的室内CAD精神
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038253
Yuichi Nakamura
In this paper, a legend story to develop CAD algorithms and CAD/EDA tools for NEC's in-house use is described. About 30 years ago, since there are few commercial CAD tools, ICT vendors had to develop their own CAD tools to enhance the performance of their systems in a short time. Prof. Goto developed several important algorithms for CAD tools and managed to develop many excellent in-house CAD tools. The tools made by him and his apprentices have designed many VLSI/ASIC for NEC's innovative computers and communication systems to enrich our daily lives.
在本文中,描述了一个传奇的故事,开发CAD算法和CAD/EDA工具为NEC内部使用。大约30年前,由于商用CAD工具很少,资讯及通讯科技供应商必须自行开发CAD工具,以便在短时间内提升系统的性能。后藤教授为CAD工具开发了几个重要的算法,并成功开发了许多优秀的内部CAD工具。他和他的学徒们制作的工具为NEC的创新计算机和通信系统设计了许多VLSI/ASIC,丰富了我们的日常生活。
{"title":"The Spirit of in-house CAD Achieved by the Legend of Master \"Prof. Goto\" and his Apprentices","authors":"Yuichi Nakamura","doi":"10.1145/3036669.3038253","DOIUrl":"https://doi.org/10.1145/3036669.3038253","url":null,"abstract":"In this paper, a legend story to develop CAD algorithms and CAD/EDA tools for NEC's in-house use is described. About 30 years ago, since there are few commercial CAD tools, ICT vendors had to develop their own CAD tools to enhance the performance of their systems in a short time. Prof. Goto developed several important algorithms for CAD tools and managed to develop many excellent in-house CAD tools. The tools made by him and his apprentices have designed many VLSI/ASIC for NEC's innovative computers and communication systems to enrich our daily lives.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116766612","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The Quest for The Ultimate Learning Machine 对终极学习机的探索
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038247
P. Dubey
Traditionally, there has been a division of labor between computers and humans where all forms of number crunching and bit manipulations are left to computers; whereas, intelligent decision-making is left to us humans. We are now at the cusp of a major transformation that can disrupt this balance. There are two triggers for this: firstly, trillions of connected devices (the "Internet of Things") that have begun to sense and transform the large untapped analog world around us to a digital world, and secondly, (thanks to Moore's Law) beyond-exaflop levels of compute, making a large class of structure learning and decision-making problems now computationally tractable. In this talk, I plan to discuss real challenges and amazing opportunities ahead of us for enabling a new class of applications and services, "Machine Intelligence Led Services". These services are distinguished by machines being in the 'lead' for tasks that were traditionally human-led, simply because computer-led implementations are about to reach and even surpass the quality metrics of current human-led offerings.
传统上,计算机和人类之间存在劳动分工,所有形式的数字处理和位操作都留给计算机;然而,智能决策留给了我们人类。我们现在正处于一场重大变革的风口浪尖,这场变革可能会破坏这种平衡。这有两个触发因素:首先,数以万亿计的连接设备(“物联网”)已经开始感知并将我们周围尚未开发的巨大模拟世界转变为数字世界;其次,(多亏了摩尔定律)超过百亿亿次的计算水平,使得大量的结构学习和决策问题现在可以在计算上处理。在这次演讲中,我计划讨论我们面临的真正挑战和惊人的机遇,以实现一类新的应用和服务,“机器智能主导的服务”。这些服务的特点是,机器在传统上由人类主导的任务中处于“领先地位”,原因很简单,因为计算机主导的实施即将达到甚至超过目前由人类主导的产品的质量指标。
{"title":"The Quest for The Ultimate Learning Machine","authors":"P. Dubey","doi":"10.1145/3036669.3038247","DOIUrl":"https://doi.org/10.1145/3036669.3038247","url":null,"abstract":"Traditionally, there has been a division of labor between computers and humans where all forms of number crunching and bit manipulations are left to computers; whereas, intelligent decision-making is left to us humans. We are now at the cusp of a major transformation that can disrupt this balance. There are two triggers for this: firstly, trillions of connected devices (the \"Internet of Things\") that have begun to sense and transform the large untapped analog world around us to a digital world, and secondly, (thanks to Moore's Law) beyond-exaflop levels of compute, making a large class of structure learning and decision-making problems now computationally tractable. In this talk, I plan to discuss real challenges and amazing opportunities ahead of us for enabling a new class of applications and services, \"Machine Intelligence Led Services\". These services are distinguished by machines being in the 'lead' for tasks that were traditionally human-led, simply because computer-led implementations are about to reach and even surpass the quality metrics of current human-led offerings.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131158004","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Pin Accessibility-Driven Detailed Placement Refinement 引脚可访问性驱动的详细放置细化
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036679
Yixiao Ding, C. Chu, Wai-Kei Mak
The significantly increased number of routing design rules at sub-20nm nodes has made pin access one of the most critical challenges in detailed routing. Resolving pin access issues in detailed routing stage may be too late due to the fixed pin locations, especially in the area with high pin density. In placement stage when cell movement is allowed, the consideration of pin access has more flexibility. We propose a refinement stage after detailed placement to improve pin access. To respect the given placement solution, the refinement techniques are restricted to cell flipping, same-row adjacent cell swap, and cell shifting. A cost function is presented to model pin access for each pin-to-pin connection. Based on the cost function, two phases are proposed to improve pin access for all the connections simultaneously. In the first phase, we refine the placement by cell flipping and same-row adjacent cell swap. The problem is solved by dynamic programming row by row. In the second phase, only cell shifting is used, and a linear program is formulated to further refine the placement. Experimental results demonstrate that the proposed detailed placement refinement can improve pin access and reduce unroutable nets by about 33% in the detailed routing stage.
在sub-20nm节点上路由设计规则数量的显著增加使得引脚访问成为详细路由中最关键的挑战之一。由于引脚位置固定,特别是在引脚密度较高的地区,在详细布线阶段解决引脚接入问题可能为时已晚。在允许单元移动的放置阶段,对引脚接入的考虑更加灵活。我们建议在详细放置后进行细化阶段,以改善引脚访问。为了尊重给定的放置解决方案,细化技术被限制为单元翻转、同行相邻单元交换和单元移动。提出了一个成本函数来模拟每个引脚对引脚连接的引脚访问。在成本函数的基础上,提出了两阶段的方案,以提高所有连接同时的引脚访问。在第一阶段,我们通过单元翻转和同行相邻单元交换来优化放置。采用逐行动态规划的方法求解。在第二阶段,只使用单元移动,并制定了一个线性程序,以进一步细化放置。实验结果表明,所提出的详细布线改进方法可以提高引脚访问率,并在详细布线阶段将不可路由网络减少约33%。
{"title":"Pin Accessibility-Driven Detailed Placement Refinement","authors":"Yixiao Ding, C. Chu, Wai-Kei Mak","doi":"10.1145/3036669.3036679","DOIUrl":"https://doi.org/10.1145/3036669.3036679","url":null,"abstract":"The significantly increased number of routing design rules at sub-20nm nodes has made pin access one of the most critical challenges in detailed routing. Resolving pin access issues in detailed routing stage may be too late due to the fixed pin locations, especially in the area with high pin density. In placement stage when cell movement is allowed, the consideration of pin access has more flexibility. We propose a refinement stage after detailed placement to improve pin access. To respect the given placement solution, the refinement techniques are restricted to cell flipping, same-row adjacent cell swap, and cell shifting. A cost function is presented to model pin access for each pin-to-pin connection. Based on the cost function, two phases are proposed to improve pin access for all the connections simultaneously. In the first phase, we refine the placement by cell flipping and same-row adjacent cell swap. The problem is solved by dynamic programming row by row. In the second phase, only cell shifting is used, and a linear program is formulated to further refine the placement. Experimental results demonstrate that the proposed detailed placement refinement can improve pin access and reduce unroutable nets by about 33% in the detailed routing stage.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134171851","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 31
Generalized Force Directed Relaxation with Optimal Regions and Its Applications to Circuit Placement 具有最优区域的广义力定向松弛及其在电路布置中的应用
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038250
Yao-Wen Chang
This paper introduces popular algorithmic paradigms for circuit placement, presents Goto's classical placement framework based on the generalized force directed relaxation (GFDR) method with an optimal region (OR) formulation and its impacts on modern circuit placement and applications, and provides future placement research directions based on the GFDR and OR formulations.
介绍了目前流行的电路布置算法范式,介绍了基于最优区域(OR)公式的广义力定向松弛法(GFDR)的Goto经典布置框架及其对现代电路布置和应用的影响,并提出了基于GFDR和OR公式的未来布置研究方向。
{"title":"Generalized Force Directed Relaxation with Optimal Regions and Its Applications to Circuit Placement","authors":"Yao-Wen Chang","doi":"10.1145/3036669.3038250","DOIUrl":"https://doi.org/10.1145/3036669.3038250","url":null,"abstract":"This paper introduces popular algorithmic paradigms for circuit placement, presents Goto's classical placement framework based on the generalized force directed relaxation (GFDR) method with an optimal region (OR) formulation and its impacts on modern circuit placement and applications, and provides future placement research directions based on the GFDR and OR formulations.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116044245","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Physical Design Challenges and Innovations to Meet Power, Speed, and Area Scaling Trend 满足功率、速度和面积缩放趋势的物理设计挑战和创新
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038255
L. Lu
In the advanced process technologies of 7nm and beyond, the semiconductor industry faces several new challenges: (1) aggressive chip area scaling with economically feasible process technology development, (2) sufficient performance enhancement of advanced small-scale technology with significantly increased wire and via resistances, (3) power density sustainability with ever shrinking chip area, and (4) advanced chip packaging integration solutions for complex SOC systems. In this presentation, novel physical design solutions of robust IP and design methodologies will be explored to solve these challenges. These innovations are made possible by the co-optimization of process technology, IP design and design flow automation. Density scaling is the most important indicator in the continuation of Moore's law. Before 10nm, chip area reduction is mainly achieved by fundamentally shrinking transistor and metal dimensions. Starting from 7nm, maintaining sufficient and economical scaling is hard to achieve through dimension decrease alone. We present two cost-effective enablers, FIN depopulation and EUV, along with their associated innovative standard cell structures and physical design flows, to realize additional area reduction beyond process dimension scaling. Achieving high performance is always a key index for CPU designs. However, the resistance of interconnects has grown significantly as the dimensions of wires and vias are scaled aggressively. We present novel physical design solutions of the via pillar approach using metal layer promotion and multiple-width configurable wires. This fully automated via pillar design flow mitigates the high resistance impact and becomes indispensable in high performance designs for advanced process technologies. Maintaining power densities while aggressively shrinking chip areas is also a critical requirement, especially for mobile and IoT applications. Lowering supply voltages is one of the most effective means to reducing power consumption, especially for FinFET devices with much lower threshold voltages than planar devices. However, process and timing variation is high even for FinFET devices operating at very low voltages. We present robust ultra-low voltage IP design solutions and the current status and issues of non-Gaussian and asymmetric variation modeling for ultra-low voltage timing signoffs. Finally, advanced chip packaging is presented as a viable solution for integration and system level scaling for complex SOC systems. Specific packaging solutions can meet different requirements of system die and package size, form factor, bandwidth, power and homogeneous or heterogeneous integration. For a silicon-proven system, quantitative advantages of advanced packaging over traditional packaging in silicon thickness, thermal dissipation and voltage drop are presented. Chip packaging integration flow and requirements will also be discussed.
在7nm及以上的先进制程技术中,半导体行业面临着几个新的挑战:(1)通过经济可行的工艺技术开发来积极扩大芯片面积;(2)通过显着增加导线和通孔电阻来充分提高先进小规模技术的性能;(3)通过不断缩小芯片面积来实现功率密度的可持续性;(4)为复杂的SOC系统提供先进的芯片封装集成解决方案。在本次演讲中,我们将探讨基于强大IP和设计方法的新型物理设计解决方案,以解决这些挑战。这些创新是通过工艺技术、IP设计和设计流程自动化的共同优化而实现的。密度标度是摩尔定律延续的最重要指标。在10nm之前,芯片面积的缩小主要是通过从根本上缩小晶体管和金属尺寸来实现的。从7nm开始,仅通过减小尺寸很难保持足够和经济的尺度。我们提出了两种具有成本效益的促成因素,FIN减少种群和EUV,以及它们相关的创新标准单元结构和物理设计流程,以实现除工艺尺寸缩放外的额外面积缩小。实现高性能一直是CPU设计的关键指标。然而,随着导线和过孔尺寸的急剧扩大,互连的电阻也显著增加。我们提出了一种新颖的通过柱方法的物理设计方案,采用金属层提升和多宽度可配置导线。这种完全自动化的通柱设计流程减轻了高阻力冲击,成为先进工艺技术高性能设计中不可或缺的一部分。保持功率密度,同时大幅缩小芯片面积也是一个关键要求,特别是对于移动和物联网应用。降低电源电压是降低功耗的最有效手段之一,特别是对于阈值电压比平面器件低得多的FinFET器件。然而,即使对于工作在极低电压下的FinFET器件,工艺和时序变化也是很大的。我们提出了鲁棒的超低电压IP设计方案,以及超低电压时序信号的非高斯和非对称变化建模的现状和问题。最后,提出了先进的芯片封装作为复杂SOC系统集成和系统级扩展的可行解决方案。特定的封装解决方案可以满足不同的系统芯片和封装尺寸、外形尺寸、带宽、功率和同质或异构集成要求。对于一个经过硅验证的系统,先进封装在硅厚度、散热和电压降方面比传统封装有量化优势。还将讨论芯片封装集成流程和要求。
{"title":"Physical Design Challenges and Innovations to Meet Power, Speed, and Area Scaling Trend","authors":"L. Lu","doi":"10.1145/3036669.3038255","DOIUrl":"https://doi.org/10.1145/3036669.3038255","url":null,"abstract":"In the advanced process technologies of 7nm and beyond, the semiconductor industry faces several new challenges: (1) aggressive chip area scaling with economically feasible process technology development, (2) sufficient performance enhancement of advanced small-scale technology with significantly increased wire and via resistances, (3) power density sustainability with ever shrinking chip area, and (4) advanced chip packaging integration solutions for complex SOC systems. In this presentation, novel physical design solutions of robust IP and design methodologies will be explored to solve these challenges. These innovations are made possible by the co-optimization of process technology, IP design and design flow automation. Density scaling is the most important indicator in the continuation of Moore's law. Before 10nm, chip area reduction is mainly achieved by fundamentally shrinking transistor and metal dimensions. Starting from 7nm, maintaining sufficient and economical scaling is hard to achieve through dimension decrease alone. We present two cost-effective enablers, FIN depopulation and EUV, along with their associated innovative standard cell structures and physical design flows, to realize additional area reduction beyond process dimension scaling. Achieving high performance is always a key index for CPU designs. However, the resistance of interconnects has grown significantly as the dimensions of wires and vias are scaled aggressively. We present novel physical design solutions of the via pillar approach using metal layer promotion and multiple-width configurable wires. This fully automated via pillar design flow mitigates the high resistance impact and becomes indispensable in high performance designs for advanced process technologies. Maintaining power densities while aggressively shrinking chip areas is also a critical requirement, especially for mobile and IoT applications. Lowering supply voltages is one of the most effective means to reducing power consumption, especially for FinFET devices with much lower threshold voltages than planar devices. However, process and timing variation is high even for FinFET devices operating at very low voltages. We present robust ultra-low voltage IP design solutions and the current status and issues of non-Gaussian and asymmetric variation modeling for ultra-low voltage timing signoffs. Finally, advanced chip packaging is presented as a viable solution for integration and system level scaling for complex SOC systems. Specific packaging solutions can meet different requirements of system die and package size, form factor, bandwidth, power and homogeneous or heterogeneous integration. For a silicon-proven system, quantitative advantages of advanced packaging over traditional packaging in silicon thickness, thermal dissipation and voltage drop are presented. Chip packaging integration flow and requirements will also be discussed.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121673679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
期刊
Proceedings of the 2017 ACM on International Symposium on Physical Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1