Negative-tone resists for EUV lithography

Masatoshi Suzuki, Youngjin Kim, Y. Her, Hengpeng Wu, Kun Si, M. Maturi, Philipp H. Fackler, M. Moinpour, R. Dammel, Yi Cao
{"title":"Negative-tone resists for EUV lithography","authors":"Masatoshi Suzuki, Youngjin Kim, Y. Her, Hengpeng Wu, Kun Si, M. Maturi, Philipp H. Fackler, M. Moinpour, R. Dammel, Yi Cao","doi":"10.1117/12.2659716","DOIUrl":null,"url":null,"abstract":"The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2659716","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

Abstract

The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
EUV光刻用负色调抗蚀剂
极紫外光刻技术(EUVL)的采用使电路尺寸低于20nm的半导体芯片的制造成为可能。目前EUVL中使用的光刻胶是基于三十年前最初引入的聚合物化学放大光刻胶系统的扩展。虽然自深紫外时代以来一直是该行业的主力,但其局限性也开始显现。随着对线边缘粗糙度(LER)的要求接近单纳米,分辨率降至15nm以下,聚合物体系固有的大规模和非均匀性带来了巨大的技术挑战。为了进一步扩大规模,需要光刻胶的构建块的小型化。同时,由于极紫外光源功率的限制,光刻工艺对高通量的要求也要求更快的光刻速度。在本研究中,开发了一种分子抗蚀剂平台,其优越的剂量比尺寸远低于50mJ/cm2。抗蚀剂形成负色调图像,有利于利用暗场掩模印刷柱子和隔离线。在间距小于38nm的六角形阵列中,柱的局部CD均匀性(LCDU)小于3nm。由于其负色调的性质,抗蚀膜的顶部损失是最小的,这导致更高的剩余膜,以维持随后的蚀刻过程。分子芯的坚固设计增强了抗蚀膜的耐蚀性。用一个简单的堆栈演示了图案转移到超过15nm的硅层上。新的抗蚀剂提供了一个更直接的解决方案来打印柱子和类似的功能,没有音调反转过程。负色调抗蚀剂可以与DSA技术相结合,以显着提高拥有成本。该工艺成功地实现了接触孔和线/空间图案,大大提高了图案质量。在六角形阵列中,以34nm间距的接触孔图案获得了1.4nm的LCDU。它可以被认为是一种替代EUV双图案或高na EUV工艺。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Considerations in the design of photoacid generators Predicting the critical features of the chemically-amplified resist profile based on machine learning Application of double exposure technique in plasmonic lithography The damage control of sub layer while ion-driven etching with vertical carbon profile implemented Ultra-high carbon fullerene-based spin-on-carbon hardmasks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1