首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Electron beam and optical patterning of polymerizable ionic liquid-based resists 可聚合离子液体基抗蚀剂的电子束和光学图形
Pub Date : 2023-05-01 DOI: 10.1117/12.2658445
N. Turek, Andrea Szpecht, Aleksandra Szymańska, T. Stefaniuk, K. Komorowska
For many years ionic liquids (ILs) have attracted the interest of the scientific community, finding new applications in green chemistry, chemical engineering, environmental science, and others. All applications have emerged due to ILs unique physiochemical properties like negligible volatility, high thermal stability, low toxicity, and very wide range of structural diversity. In our research we develop and exploit all of the advantages associated with the ILs molecules for lithographic patterning, expanding their applications to lithography resists. In this work we present the results of patterning achieved for different types of ionic liquids with vinylbenzyl and trimethoxysilyl groups.
多年来,离子液体在绿色化学、化学工程、环境科学等领域得到了广泛的应用,引起了科学界的广泛关注。所有的应用都是由于ILs独特的物理化学性质,如可忽略的挥发性,高热稳定性,低毒性和非常广泛的结构多样性。在我们的研究中,我们开发和利用了与光刻图案相关的ILs分子的所有优势,将其应用扩展到光刻电阻。在这项工作中,我们提出了不同类型的乙烯苄基和三甲氧基硅基离子液体的图案化结果。
{"title":"Electron beam and optical patterning of polymerizable ionic liquid-based resists","authors":"N. Turek, Andrea Szpecht, Aleksandra Szymańska, T. Stefaniuk, K. Komorowska","doi":"10.1117/12.2658445","DOIUrl":"https://doi.org/10.1117/12.2658445","url":null,"abstract":"For many years ionic liquids (ILs) have attracted the interest of the scientific community, finding new applications in green chemistry, chemical engineering, environmental science, and others. All applications have emerged due to ILs unique physiochemical properties like negligible volatility, high thermal stability, low toxicity, and very wide range of structural diversity. In our research we develop and exploit all of the advantages associated with the ILs molecules for lithographic patterning, expanding their applications to lithography resists. In this work we present the results of patterning achieved for different types of ionic liquids with vinylbenzyl and trimethoxysilyl groups.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116705157","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Pattern fidelity improvement of DSA hole patterns 改进DSA孔图的模式保真度
Pub Date : 2023-05-01 DOI: 10.1117/12.2658245
M. Muramatsu, T. Nishi, Kiyohito Ito, Yoshihito Takahashi, Yasunori Hatamura, T. Kitano, Tomohiro Iwaki
Directed Self-Assembly (DSA) has been reported many times in the past decade as a technique for forming fine patterns1- 12. As processes for application to the semiconductor process, the grapho-epitaxy process forms a desired pattern in an isolated area using a physical guide, and the chemical-epitaxy process forms a single pitch over a wide range using a chemical guide are typical. There are many reports regarding the line pattern formation using a lamellar phase to meet the demand for miniaturization from the mass production of semiconductors, and this is partly because the lamellar phase is relatively stable. However, for fine line pattern formation, multiplication techniques such as SADP (self-aligned double patterning) and SAQP (self-aligned quadruple patterning) have matured, and in recent years, the number of cases where EUV (extreme ultra-violet) single exposure is used is increasing. For this reason, DSA is rarely used in mass production of semiconductors. On the other hand, when miniaturizing high-density hole patterns, methods such as multiple exposure and etching, and methods of forming holes by crossing line patterns formed by SADP are relatively expensive. In addition, it is difficult to maintain the uniformity of hole CD (critical dimension) and pitch. In addition, when EUV is applied, it is not easy to suppress defects and form a wide range of patterns due to stochasticity, which has become a problem in recent years. Therefore, the formation of high-density hole patterns using DSA is attracting attention. In DSA, the hole diameter can be controlled by the molecular weight of BCP (block co-polymer), and the pitch tends to be uniform spontaneously. Also, if the chemical-epitaxy process is used, the pattern can be formed over a wide range. However, hole patterns using a cylinder phase tend to have large fluctuations in hole diameter and placement due to the high degree of freedom in placement. It is also important to what extent the established process can be extended to further miniaturization. In this presentation, we report on the hole pattern formation method by the chemo-epitaxy method and efforts to improve the fidelity for application to the semiconductor process.
在过去的十年中,定向自组装(DSA)作为一种形成精细图案的技术被多次报道[1- 12]。作为应用于半导体工艺的工艺,石墨外延工艺使用物理导向器在孤立区域形成所需的图案,而化学外延工艺使用化学导向器在大范围内形成单个螺距是典型的。有许多关于使用层状相形成线模式以满足半导体批量生产小型化的需求的报道,部分原因是层状相相对稳定。然而,对于细线图案的形成,SADP(自对准双图案)和SAQP(自对准四倍图案)等倍增技术已经成熟,近年来,EUV(极紫外线)单次曝光的使用越来越多。因此,DSA很少用于半导体的批量生产。另一方面,在实现高密度孔型微型化时,多次曝光和蚀刻等方法,以及通过SADP形成的交叉线图案形成孔型的方法都是相对昂贵的。此外,孔CD(临界尺寸)和节距的均匀性难以保持。此外,在应用EUV时,由于其随机性,不易抑制缺陷和形成大范围的图案,这已成为近年来的一个问题。因此,利用DSA形成高密度的空穴图案备受关注。在DSA中,孔直径可由BCP(嵌段共聚物)的分子量控制,螺距自发趋于均匀。此外,如果使用化学外延工艺,图案可以在很宽的范围内形成。然而,使用圆柱相的孔模式往往在孔径和位置上有很大的波动,因为在位置上有很高的自由度。同样重要的是,在何种程度上可以将已确立的进程扩展到进一步的小型化。在本报告中,我们报告了利用化学外延方法形成空穴图案的方法,并努力提高应用于半导体工艺的保真度。
{"title":"Pattern fidelity improvement of DSA hole patterns","authors":"M. Muramatsu, T. Nishi, Kiyohito Ito, Yoshihito Takahashi, Yasunori Hatamura, T. Kitano, Tomohiro Iwaki","doi":"10.1117/12.2658245","DOIUrl":"https://doi.org/10.1117/12.2658245","url":null,"abstract":"Directed Self-Assembly (DSA) has been reported many times in the past decade as a technique for forming fine patterns1- 12. As processes for application to the semiconductor process, the grapho-epitaxy process forms a desired pattern in an isolated area using a physical guide, and the chemical-epitaxy process forms a single pitch over a wide range using a chemical guide are typical. There are many reports regarding the line pattern formation using a lamellar phase to meet the demand for miniaturization from the mass production of semiconductors, and this is partly because the lamellar phase is relatively stable. However, for fine line pattern formation, multiplication techniques such as SADP (self-aligned double patterning) and SAQP (self-aligned quadruple patterning) have matured, and in recent years, the number of cases where EUV (extreme ultra-violet) single exposure is used is increasing. For this reason, DSA is rarely used in mass production of semiconductors. On the other hand, when miniaturizing high-density hole patterns, methods such as multiple exposure and etching, and methods of forming holes by crossing line patterns formed by SADP are relatively expensive. In addition, it is difficult to maintain the uniformity of hole CD (critical dimension) and pitch. In addition, when EUV is applied, it is not easy to suppress defects and form a wide range of patterns due to stochasticity, which has become a problem in recent years. Therefore, the formation of high-density hole patterns using DSA is attracting attention. In DSA, the hole diameter can be controlled by the molecular weight of BCP (block co-polymer), and the pitch tends to be uniform spontaneously. Also, if the chemical-epitaxy process is used, the pattern can be formed over a wide range. However, hole patterns using a cylinder phase tend to have large fluctuations in hole diameter and placement due to the high degree of freedom in placement. It is also important to what extent the established process can be extended to further miniaturization. In this presentation, we report on the hole pattern formation method by the chemo-epitaxy method and efforts to improve the fidelity for application to the semiconductor process.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127357691","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
AppliedPRO: process recipe optimizer for R&D acceleration and beyond AppliedPRO:用于研发加速和超越的工艺配方优化器
Pub Date : 2023-05-01 DOI: 10.1117/12.2661320
Deepak Gupta, Sravan Nandakumar, T. Miyagi, Oliver Jan, W. Bishara, St Chiou, Kyeong-tae Lee, Andre Kim, Sangdoo Kim, Young-Soo Um, Ki-il Kim, Changbae Park, Myeonggil Shin, K. Bai
Semiconductor process development for state-of-the-art devices is a complex task that requires up to years of development. The complexity comes from the need to tune a significant number of process knobs in latest process tools, to meet multiple on-wafer performance targets, across an entire wafer. AppliedPRO® is a software and library of algorithms developed by Applied Materials for process recipe optimization to meet simultaneous process requirements across the entire wafer. The software is tailored to semiconductor use-cases and designed to be primarily used by process engineers to make critical decisions with confidence during process development. Over 100 use-cases have been generated for various semiconductor chips manufacturers, showing faster development time, less development resources, and higher process engineer productivity. This paper shows the use-case of Samsung N+1 Logic BEOL Spacer-Etch process recipe optimization using AppliedPRO®. We utilized AppliedPRO® structured design of experiment methodology and machine-learning algorithms to simultaneously model 10 process-recipe knobs of Applied Materials’ Centris® Sym3® X Etch system and their effect on 8 on-wafer metrics, and determine optimal process knob conditions for minimizing Spacer-tail, which is a key performance metric, while keeping other metrics close to spec. These optimized conditions reduced Spacer-tail by 73% on coupons, which was also validated on full-wafer. These optimal results were previously unachievable in all the previous experimental trials before introducing AppliedPRO®.
用于最先进设备的半导体工艺开发是一项复杂的任务,需要长达数年的开发。复杂性来自于需要在最新的工艺工具中调整大量的工艺旋钮,以满足整个晶圆上的多个晶圆性能目标。AppliedPRO®是应用材料公司开发的一种软件和算法库,用于工艺配方优化,以满足整个晶圆的同步工艺要求。该软件是为半导体用例量身定制的,主要用于工艺工程师在工艺开发过程中自信地做出关键决策。已经为各种半导体芯片制造商生成了超过100个用例,显示出更快的开发时间、更少的开发资源和更高的过程工程师生产力。本文展示了使用AppliedPRO®优化三星N+1 Logic BEOL Spacer-Etch工艺配方的用例。我们利用AppliedPRO®结构化设计的实验方法和机器学习算法,同时模拟了应用材料公司Centris®Sym3®X蚀刻系统的10个工艺配方旋钮及其对8个晶圆上指标的影响,并确定了最小化间隔尾的最佳工艺旋钮条件,这是一个关键的性能指标,同时保持其他指标接近规格。这些优化条件减少了73%的间隔尾,这也在整片晶圆上得到了验证。在引入AppliedPRO®之前,这些最佳结果在之前的所有实验试验中都是无法实现的。
{"title":"AppliedPRO: process recipe optimizer for R&D acceleration and beyond","authors":"Deepak Gupta, Sravan Nandakumar, T. Miyagi, Oliver Jan, W. Bishara, St Chiou, Kyeong-tae Lee, Andre Kim, Sangdoo Kim, Young-Soo Um, Ki-il Kim, Changbae Park, Myeonggil Shin, K. Bai","doi":"10.1117/12.2661320","DOIUrl":"https://doi.org/10.1117/12.2661320","url":null,"abstract":"Semiconductor process development for state-of-the-art devices is a complex task that requires up to years of development. The complexity comes from the need to tune a significant number of process knobs in latest process tools, to meet multiple on-wafer performance targets, across an entire wafer. AppliedPRO® is a software and library of algorithms developed by Applied Materials for process recipe optimization to meet simultaneous process requirements across the entire wafer. The software is tailored to semiconductor use-cases and designed to be primarily used by process engineers to make critical decisions with confidence during process development. Over 100 use-cases have been generated for various semiconductor chips manufacturers, showing faster development time, less development resources, and higher process engineer productivity. This paper shows the use-case of Samsung N+1 Logic BEOL Spacer-Etch process recipe optimization using AppliedPRO®. We utilized AppliedPRO® structured design of experiment methodology and machine-learning algorithms to simultaneously model 10 process-recipe knobs of Applied Materials’ Centris® Sym3® X Etch system and their effect on 8 on-wafer metrics, and determine optimal process knob conditions for minimizing Spacer-tail, which is a key performance metric, while keeping other metrics close to spec. These optimized conditions reduced Spacer-tail by 73% on coupons, which was also validated on full-wafer. These optimal results were previously unachievable in all the previous experimental trials before introducing AppliedPRO®.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129642736","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Considerations in the design of photoacid generators 光酸发生器设计中的注意事项
Pub Date : 2023-05-01 DOI: 10.1117/12.2658981
Conner A. Hoelzel, Li Cui, Benjamin D. Naab, J. Park, Philjae Kang, K. Hernandez, S. Coley, Stefan Alexandrescu, Rochelle Rena, J. Cameron, E. Aqad
Conventional chemically amplified resists for extreme ultraviolet (EUV) lithography are comprised of three fundamental components: a photoreactive, acid-generating species (PAG), an acid reactive polymer for solubility switching, and a basic component for acid diffusion control. The PAG component is typically derived from an organic onium salt, wherein the cation’s capacity to capture secondary electrons generated upon EUV irradiation of the resist underscores their reactivity in lithographic applications. Thus, effective rational design of these materials is critical for controlling both sensitivity of the resist and feature regularity. Herein, we describe a robust method for in silico prediction of fundamental properties of onium cations including electron affinity, LUMO energy, and relative charge distribution. We correlate these theoretical values to experimental measurements and further to the influence of PAG cation properties on resist performance under EUV exposure. In addition to the reactive properties of these cations, we analyze these lithographic data in the context of the physicochemical properties of the cations, particularly polarity. In all, the results of this study suggest that while electron affinity of the PAG cation may drive reactivity in response to EUV exposure, multiple factors must be considered in the design of cations for optimal overall resist performance.
传统的极紫外(EUV)光刻用化学放大抗蚀剂由三个基本组分组成:光反应性产酸物质(PAG),用于溶解度切换的酸反应性聚合物,以及用于酸扩散控制的基本组分。PAG成分通常来源于有机铵盐,其中阳离子捕获EUV照射抗蚀剂时产生的二次电子的能力强调了它们在光刻应用中的反应性。因此,有效合理地设计这些材料对于控制抗蚀剂的灵敏度和特征的规律性至关重要。在这里,我们描述了一种强大的方法,用于硅预测的基本性质,包括电子亲和,LUMO能量和相对电荷分布。我们将这些理论值与实验测量相关联,并进一步分析了PAG阳离子性质对EUV照射下抗蚀性能的影响。除了这些阳离子的反应性质外,我们还从阳离子的物理化学性质,特别是极性的角度分析了这些光刻数据。总之,本研究的结果表明,虽然PAG阳离子的电子亲和力可能会驱动对EUV暴露的反应性,但在设计阳离子时必须考虑多种因素,以获得最佳的整体抗蚀性能。
{"title":"Considerations in the design of photoacid generators","authors":"Conner A. Hoelzel, Li Cui, Benjamin D. Naab, J. Park, Philjae Kang, K. Hernandez, S. Coley, Stefan Alexandrescu, Rochelle Rena, J. Cameron, E. Aqad","doi":"10.1117/12.2658981","DOIUrl":"https://doi.org/10.1117/12.2658981","url":null,"abstract":"Conventional chemically amplified resists for extreme ultraviolet (EUV) lithography are comprised of three fundamental components: a photoreactive, acid-generating species (PAG), an acid reactive polymer for solubility switching, and a basic component for acid diffusion control. The PAG component is typically derived from an organic onium salt, wherein the cation’s capacity to capture secondary electrons generated upon EUV irradiation of the resist underscores their reactivity in lithographic applications. Thus, effective rational design of these materials is critical for controlling both sensitivity of the resist and feature regularity. Herein, we describe a robust method for in silico prediction of fundamental properties of onium cations including electron affinity, LUMO energy, and relative charge distribution. We correlate these theoretical values to experimental measurements and further to the influence of PAG cation properties on resist performance under EUV exposure. In addition to the reactive properties of these cations, we analyze these lithographic data in the context of the physicochemical properties of the cations, particularly polarity. In all, the results of this study suggest that while electron affinity of the PAG cation may drive reactivity in response to EUV exposure, multiple factors must be considered in the design of cations for optimal overall resist performance.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"113943293","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Computational patterning and process variation impact on photonics devices 计算模式和工艺变化对光子器件的影响
Pub Date : 2023-05-01 DOI: 10.1117/12.2658788
M. Chalony, L. Melvin, R. Zimmermann, B. Küchler, Emilie Viasnoff, R. Scarmozzino, D. Herrmann, Y. Saad, P. Stopford, Thuc H. Dam, U. Klostermann, W. Demmerle, Al Blais, R. Stoffer
With the introduction of Augmented Reality, Virtual Reality, and Mixed Reality (AR/VR/MR) applications, the fabrication of photonics devices is approaching a high volume manufacturing level. To scale these products to consumer friendly dimensions, there is still significant shrink needed for many not yet available components such as ultrasmall cameras, metalenses, microdisplays, and combiner optics. AR/VR/MR optical components include metalenses patterned over large areas, and the fidelity of these patterns may have a significant impact on performance. In this study, we apply OPC to the design intent and examine the implication of various lithographic and correction techniques on metalens performance through simulation. In addition, we investigate the root causes of the manufacturing process variability and its impact on metalens functionality. These devices are analyzed by comparing light propagation through the simulated manufactured system using rigorous lithographic models to the optimal system based on the design intent. The study finds that the size and shape of meta-atoms have a different impact on optical performance, depending on the type of the metalens.
随着增强现实、虚拟现实和混合现实(AR/VR/MR)应用的引入,光子器件的制造正在接近大批量制造水平。为了将这些产品扩展到消费者友好的尺寸,许多尚未可用的组件(如超小型相机、超透镜、微型显示器和组合光学)仍然需要大幅缩小。AR/VR/MR光学组件包括大面积图案的超透镜,这些图案的保真度可能对性能产生重大影响。在本研究中,我们将OPC应用于设计意图,并通过仿真研究各种光刻和校正技术对超构透镜性能的影响。此外,我们还研究了制造工艺变异性的根本原因及其对超构功能的影响。通过比较采用严格光刻模型的模拟制造系统与基于设计意图的最优系统的光传播,对这些器件进行了分析。研究发现,元原子的大小和形状对光学性能有不同的影响,这取决于超构透镜的类型。
{"title":"Computational patterning and process variation impact on photonics devices","authors":"M. Chalony, L. Melvin, R. Zimmermann, B. Küchler, Emilie Viasnoff, R. Scarmozzino, D. Herrmann, Y. Saad, P. Stopford, Thuc H. Dam, U. Klostermann, W. Demmerle, Al Blais, R. Stoffer","doi":"10.1117/12.2658788","DOIUrl":"https://doi.org/10.1117/12.2658788","url":null,"abstract":"With the introduction of Augmented Reality, Virtual Reality, and Mixed Reality (AR/VR/MR) applications, the fabrication of photonics devices is approaching a high volume manufacturing level. To scale these products to consumer friendly dimensions, there is still significant shrink needed for many not yet available components such as ultrasmall cameras, metalenses, microdisplays, and combiner optics. AR/VR/MR optical components include metalenses patterned over large areas, and the fidelity of these patterns may have a significant impact on performance. In this study, we apply OPC to the design intent and examine the implication of various lithographic and correction techniques on metalens performance through simulation. In addition, we investigate the root causes of the manufacturing process variability and its impact on metalens functionality. These devices are analyzed by comparing light propagation through the simulated manufactured system using rigorous lithographic models to the optimal system based on the design intent. The study finds that the size and shape of meta-atoms have a different impact on optical performance, depending on the type of the metalens.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114772146","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era 面向高na EUV时代的电子多波束掩模编写器的现状与未来规划
Pub Date : 2023-05-01 DOI: 10.1117/12.2657994
Hiroshi Matsumoto, H. Nomura, Hayato Kimura, Keisuke Yamaguchi, Y. Kojima, M. Saito, T. Tamura, N. Nakayamada
Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.
电子多波束掩模刻录机对扩大EUV光刻技术在器件量产中的应用起着关键作用。NuFlare Technology, Inc.于2021年5月发布了MBMTM-2000。从那时起,它已经安装在口罩生产现场。因此,它支持他们的口罩开发和生产。在可靠的继承掩模书写技术的基础上,新开发的技术实现了目标,使多波束书写器做出了许多贡献。2022年6月,用于2nm节点器件开发的MBMTM-2000PLUS最终首次亮相。增加的光束电流密度为3.2 A/cm2,即使使用较低灵敏度的电阻,也可以在有效的写入时间内打印掩模。电荷效应降低(CER)是一种降低抗蚀剂表面电荷量的硬件解决方案。由于采用了CER1.0的升级版CER2.0, MBMTM-2000PLUS的抗蚀表面电荷效应引起的图像放置误差比采用光学CER1.0的MBMTM-2000降低了50%。这款后继产品还继承了MBM-2000的基本功能,包括像素级剂量校正(PLDC)、电荷效应校正(CEC)和玻璃热膨胀校正(GTEC)。本文讨论了MBM系列掩码编写器的性能现状和关键架构。我们还解释了通过优化光束大小、数据传输速度、光束电流密度等项目来保持持续吞吐量提高的策略。我们的路线图表明,NuFlare Technology, Inc.为高na EUV生态系统做出了贡献。
{"title":"Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era","authors":"Hiroshi Matsumoto, H. Nomura, Hayato Kimura, Keisuke Yamaguchi, Y. Kojima, M. Saito, T. Tamura, N. Nakayamada","doi":"10.1117/12.2657994","DOIUrl":"https://doi.org/10.1117/12.2657994","url":null,"abstract":"Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131479054","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Micro-nanostructuring by optical lithography and nitridation of photo-patternable TiO2 sol-gel to obtain micro-nanostructured TiN 采用光学光刻和氮化光图像化TiO2溶胶凝胶制备微纳结构TiN
Pub Date : 2023-05-01 DOI: 10.1117/12.2647262
V. Vallejo Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, C. Donnet, Y. Jourlin
Titanium nitride (TiN) combines plasmonic properties in the visible and near infrared spectral region with good mechanical properties, high thermal and chemical stability making it a very promising alternative to noble metals for optical applications at high temperature or in extreme environments. The authors present a new easy and fast process for the elaboration of micro-nanostructured TiN layers. This process combines photo-patternable TiO2 sol-gel by optical lithography and a nitridation process, by rapid thermal annealing (RTA). During this presentation, the elaboration of sol-gel, its structuring by different optical lithography methods, as well as its nitridation by RTA are presented.
氮化钛(TiN)结合了可见光和近红外光谱区域的等离子体特性,具有良好的机械性能,高热稳定性和化学稳定性,使其成为在高温或极端环境下光学应用中非常有前途的贵金属替代品。作者提出了一种简便、快速的制备微纳结构TiN层的新工艺。该工艺通过光学光刻和快速热退火(RTA)的氮化工艺结合了光图像化TiO2溶胶凝胶。在本报告中,介绍了溶胶-凝胶的制备、不同光刻方法的结构以及RTA的氮化作用。
{"title":"Micro-nanostructuring by optical lithography and nitridation of photo-patternable TiO2 sol-gel to obtain micro-nanostructured TiN","authors":"V. Vallejo Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, C. Donnet, Y. Jourlin","doi":"10.1117/12.2647262","DOIUrl":"https://doi.org/10.1117/12.2647262","url":null,"abstract":"Titanium nitride (TiN) combines plasmonic properties in the visible and near infrared spectral region with good mechanical properties, high thermal and chemical stability making it a very promising alternative to noble metals for optical applications at high temperature or in extreme environments. The authors present a new easy and fast process for the elaboration of micro-nanostructured TiN layers. This process combines photo-patternable TiO2 sol-gel by optical lithography and a nitridation process, by rapid thermal annealing (RTA). During this presentation, the elaboration of sol-gel, its structuring by different optical lithography methods, as well as its nitridation by RTA are presented.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132976617","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fundamental studies of interactions between polymer substrate and precursor in sequential infiltration synthesis 序贯渗透合成中聚合物底物与前驱体相互作用的基础研究
Pub Date : 2023-05-01 DOI: 10.1117/12.2655986
Francis J. McCallum, Jiacheng Zhao, M. D. Hossain, Joshua A. Kaitz, J. Cameron, P. Trefonas, I. Blakey, Hui Peng, A. Whittaker
Sequential infiltration synthesis (SIS) is becoming an important tool for resist hardening and formation of unique nanostructures. SIS is a variant of atomic layer deposition (ALD), in which the organometallic precursors are allowed to diffuse into the polymeric substrate before condensation. In contrast to ALD, the extended diffusion time in SIS potentially allows for extensive penetration into the substrate. An important parameter in SIS is the affinity of the precursor with the polymer substrate. Differences in affinity can be exploited, for e.g., for generation of patterned structures within block copolymers. To date, the interactions between the precursor molecules, for example trimethyl aluminium (TMA) have been inferred from in situ or ex situ vibrational spectroscopy. Potentially much richer information can be gained from NMR and transmission FTIR spectroscopy of solutions of precursor and polymer. Fundamental studies of PMMA and TMA allow identification and screening of novel polymer substrates for SIS. Previous studies have provided broad design rules for SIS; e.g., highly-polar and strongly basic structures enhance uptake of precursors. The precursor molecules such as TMA are Lewis acids and hence will associate with functional groups having base character. We have investigated SIS polymers that incorporate a stronger Lewis base group, sulfinyl, in poly((2-methylsulfinyl) ethyl methacrylate) (PMSEMA). Details of the interactions between TMA and PMSEMA in solution, and as films, and comparison with a range of other materials, provide information on potential of these materials for SIS.
序贯渗透合成(SIS)已成为抗硬化和形成独特纳米结构的重要手段。SIS是原子层沉积(ALD)的一种变体,其中有机金属前体在冷凝之前被允许扩散到聚合物底物中。与ALD相比,SIS中延长的扩散时间可能允许广泛渗透到基板中。SIS中的一个重要参数是前驱体与聚合物底物的亲和力。可以利用亲和性的差异,例如,在嵌段共聚物内生成图案结构。到目前为止,前体分子之间的相互作用,例如三甲基铝(TMA)已经从原位或非原位振动光谱中推断出来。从前驱体和聚合物溶液的核磁共振和透射FTIR光谱中可以获得潜在的更丰富的信息。PMMA和TMA的基础研究允许鉴定和筛选SIS的新型聚合物底物。先前的研究为SIS提供了广泛的设计规则;例如,高极性和强碱性结构促进前体的吸收。前体分子如TMA是路易斯酸,因此会与具有碱基特征的官能团结合。我们研究了在聚(2-甲基亚砜基)甲基丙烯酸乙酯(PMSEMA)中含有更强的刘易斯碱基亚砜基的SIS聚合物。TMA和PMSEMA在溶液和薄膜中相互作用的细节,以及与一系列其他材料的比较,提供了这些材料用于SIS的潜力的信息。
{"title":"Fundamental studies of interactions between polymer substrate and precursor in sequential infiltration synthesis","authors":"Francis J. McCallum, Jiacheng Zhao, M. D. Hossain, Joshua A. Kaitz, J. Cameron, P. Trefonas, I. Blakey, Hui Peng, A. Whittaker","doi":"10.1117/12.2655986","DOIUrl":"https://doi.org/10.1117/12.2655986","url":null,"abstract":"Sequential infiltration synthesis (SIS) is becoming an important tool for resist hardening and formation of unique nanostructures. SIS is a variant of atomic layer deposition (ALD), in which the organometallic precursors are allowed to diffuse into the polymeric substrate before condensation. In contrast to ALD, the extended diffusion time in SIS potentially allows for extensive penetration into the substrate. An important parameter in SIS is the affinity of the precursor with the polymer substrate. Differences in affinity can be exploited, for e.g., for generation of patterned structures within block copolymers. To date, the interactions between the precursor molecules, for example trimethyl aluminium (TMA) have been inferred from in situ or ex situ vibrational spectroscopy. Potentially much richer information can be gained from NMR and transmission FTIR spectroscopy of solutions of precursor and polymer. Fundamental studies of PMMA and TMA allow identification and screening of novel polymer substrates for SIS. Previous studies have provided broad design rules for SIS; e.g., highly-polar and strongly basic structures enhance uptake of precursors. The precursor molecules such as TMA are Lewis acids and hence will associate with functional groups having base character. We have investigated SIS polymers that incorporate a stronger Lewis base group, sulfinyl, in poly((2-methylsulfinyl) ethyl methacrylate) (PMSEMA). Details of the interactions between TMA and PMSEMA in solution, and as films, and comparison with a range of other materials, provide information on potential of these materials for SIS.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132086325","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Scaled-down deposited underlayers for EUV lithography EUV光刻的缩小沉积下层
Pub Date : 2023-05-01 DOI: 10.1117/12.2660376
Mihir Gupta, Joao Antunes Afonso, P. Bézard, Rémi Vallat, R. Fallica, H. Suh, S. Halder, D. De Simone, Zecheng Liu, F. Ran, H. Fukuda, Yiting Sun, D. de Roest, D. Piumi
To further enable device scaling in HVM, new patterning materials are needed to meet the more stringent requirements such as line width and edge roughness (LWR and LER), dose sensitivity, pattern collapse, etch resistance and defectivity. The continuous progression of the shrinking of resist feature sizes will be accompanied by the scaling-down of the resist film thickness to prevent pattern collapse and to compensate for low depth-of-focus for high-NA EUV lithography. However, if we reduce the resist film thickness, we must also reduce the underlayer (UL) hardmask film thickness for optimum pattern transfer. As an alternative to spin-on underlayers, deposited ULs can be a potential candidate as it is possible to produce very thin uniformly deposited ULs, with the freedom to incorporate different elements to improve adhesion and modify etch selectivity. In this paper, we will discuss deposited ULs with film thickness scaled down to 3.5 nm for EUV lithography patterning as well as etch performance for pitch 32 and 28 line/space structures. We will also discuss about the possibility to modify the ULs to match the surface energy of the photoresist in use in order to minimize pattern collapse. Additionally, with scaled-down deposited ULs, we were able to obtain very similar post-litho unbiased roughness values (LWR 2.23 nm and LER 1.7 nm) as 10 nm spin-on reference UL (LWR: 2.26 nm and LER 1.66 nm). We will discuss more such details in terms of surface roughness, dose sensitivity, post-litho and post-etch LWR, LER, pattern collapse and defectivity in the presentation. Such ULs could become useful for high-NA EUV lithography when the litho stack is expected to scale down in thickness.
为了进一步实现HVM中的器件缩放,需要新的图案材料来满足更严格的要求,如线宽和边缘粗糙度(LWR和LER)、剂量敏感性、图案塌陷、耐蚀刻和缺陷。随着抗蚀剂特征尺寸的不断缩小,抗蚀剂薄膜厚度也将随之缩小,以防止图案坍塌,并补偿高na EUV光刻的低聚焦深度。然而,如果我们减少抗蚀膜厚度,我们也必须减少底层(UL)硬掩膜厚度,以获得最佳的图案转移。作为自旋底层的替代方案,沉积ULs可能是一个潜在的候选者,因为可以生产非常薄的均匀沉积ULs,可以自由地加入不同的元素来提高附着力和修改蚀刻选择性。在本文中,我们将讨论沉积的ULs薄膜厚度缩小到3.5 nm,用于EUV光刻图案,以及对间距32和28线/空间结构的蚀刻性能。我们还将讨论修改ULs以匹配使用中的光刻胶的表面能量的可能性,以尽量减少图案坍塌。此外,通过缩小的沉积ULs,我们能够获得与10 nm自旋参考ULs (LWR: 2.26 nm和LER 1.66 nm)非常相似的光刻后无偏粗糙度值(LWR 2.23 nm和LER 1.7 nm)。我们将在报告中讨论更多关于表面粗糙度、剂量敏感性、光刻后和蚀刻后LWR、LER、图案塌陷和缺陷的细节。当光刻堆栈的厚度有望缩小时,这种超低波长光刻技术可能会对高na EUV光刻技术有用。
{"title":"Scaled-down deposited underlayers for EUV lithography","authors":"Mihir Gupta, Joao Antunes Afonso, P. Bézard, Rémi Vallat, R. Fallica, H. Suh, S. Halder, D. De Simone, Zecheng Liu, F. Ran, H. Fukuda, Yiting Sun, D. de Roest, D. Piumi","doi":"10.1117/12.2660376","DOIUrl":"https://doi.org/10.1117/12.2660376","url":null,"abstract":"To further enable device scaling in HVM, new patterning materials are needed to meet the more stringent requirements such as line width and edge roughness (LWR and LER), dose sensitivity, pattern collapse, etch resistance and defectivity. The continuous progression of the shrinking of resist feature sizes will be accompanied by the scaling-down of the resist film thickness to prevent pattern collapse and to compensate for low depth-of-focus for high-NA EUV lithography. However, if we reduce the resist film thickness, we must also reduce the underlayer (UL) hardmask film thickness for optimum pattern transfer. As an alternative to spin-on underlayers, deposited ULs can be a potential candidate as it is possible to produce very thin uniformly deposited ULs, with the freedom to incorporate different elements to improve adhesion and modify etch selectivity. In this paper, we will discuss deposited ULs with film thickness scaled down to 3.5 nm for EUV lithography patterning as well as etch performance for pitch 32 and 28 line/space structures. We will also discuss about the possibility to modify the ULs to match the surface energy of the photoresist in use in order to minimize pattern collapse. Additionally, with scaled-down deposited ULs, we were able to obtain very similar post-litho unbiased roughness values (LWR 2.23 nm and LER 1.7 nm) as 10 nm spin-on reference UL (LWR: 2.26 nm and LER 1.66 nm). We will discuss more such details in terms of surface roughness, dose sensitivity, post-litho and post-etch LWR, LER, pattern collapse and defectivity in the presentation. Such ULs could become useful for high-NA EUV lithography when the litho stack is expected to scale down in thickness.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131863597","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Role of counter-anion chemistry, free volume, and reaction byproducts in chemically amplified resists 在化学放大抗蚀剂中,反阴离子化学、自由体积和反应副产物的作用
Pub Date : 2023-05-01 DOI: 10.1117/12.2656952
Christopher M. Bottoms, M. Doxastakis, G. Stein
Fundamental understanding of the physical processes controlling deprotection in chemical amplified resists (CARs) is critical to improve their utility for high-resolution lithography. We employ a combined experimental and computational method to examine the impacts of excess free volume generation, reaction byproducts, catalyst clustering, and catalyst counter-anion chemistry/size on deprotection rates in a model terpolymer CAR. These studies suggest that catalyst diffusion can be enhanced by a combination of excess free volume and reaction byproducts, and that counter-anion chemistry/size plays a key role in local reaction rates, which stems from differences in the rotational mobility of the catalyst.
对化学放大抗蚀剂(CARs)中控制去保护的物理过程的基本理解对于提高其在高分辨率光刻中的应用至关重要。我们采用实验和计算相结合的方法来研究过量自由体积产生、反应副产物、催化剂聚类和催化剂反阴离子化学/尺寸对模型三元共聚物CAR中脱保护率的影响。这些研究表明,过量的自由体积和反应副产物的结合可以增强催化剂的扩散,而反阴离子化学/大小在局部反应速率中起着关键作用,这源于催化剂旋转迁移率的差异。
{"title":"Role of counter-anion chemistry, free volume, and reaction byproducts in chemically amplified resists","authors":"Christopher M. Bottoms, M. Doxastakis, G. Stein","doi":"10.1117/12.2656952","DOIUrl":"https://doi.org/10.1117/12.2656952","url":null,"abstract":"Fundamental understanding of the physical processes controlling deprotection in chemical amplified resists (CARs) is critical to improve their utility for high-resolution lithography. We employ a combined experimental and computational method to examine the impacts of excess free volume generation, reaction byproducts, catalyst clustering, and catalyst counter-anion chemistry/size on deprotection rates in a model terpolymer CAR. These studies suggest that catalyst diffusion can be enhanced by a combination of excess free volume and reaction byproducts, and that counter-anion chemistry/size plays a key role in local reaction rates, which stems from differences in the rotational mobility of the catalyst.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117086123","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1