Optimization of spin-on metal oxide resist performance via new development techniques on sub-30nm pitch patterning

L. Huli, Kanzo Kato, Steven Gueci, N. Antonovich, Steven Grzeskowiak, D. Hetzer, E. Liu, Alexandra Krawicz, S. Shimura, S. Kawakami, Soichiro Okada, K. Petrillo, Luciana Meli, N. Latham, Y. Cabrera, Belle Antonovich
{"title":"Optimization of spin-on metal oxide resist performance via new development techniques on sub-30nm pitch patterning","authors":"L. Huli, Kanzo Kato, Steven Gueci, N. Antonovich, Steven Grzeskowiak, D. Hetzer, E. Liu, Alexandra Krawicz, S. Shimura, S. Kawakami, Soichiro Okada, K. Petrillo, Luciana Meli, N. Latham, Y. Cabrera, Belle Antonovich","doi":"10.1117/12.2658880","DOIUrl":null,"url":null,"abstract":"Extreme ultraviolet lithography (EUVL) has overcome significant challenges to become an essential enabler to the logic and memory scaling roadmap. Despite its significant progress, resist photo speed, and defectivity remains the main concerns for high-volume manufacturing. To overcome these issues, high-performance EUV resist processes are needed. The high-performance resist process must simultaneously meet multiple requirements, such as a high resolution, high sensitivity, low roughness, low defect level, and good global CD uniformity (CDU). One of the high-performance resist candidates for future EUV scaling, and high NA EUV is Metal Oxide Resist (MOR). In our work, we introduce the new coater/developer hardware and new resist development techniques to improve photo speed, defectivity, and CDU without degradation of roughness in MOR. We will show that the new development methods significantly improve EUV dose to size (DtS) and micro-bridge (MB) while maintaining resist roughness performance post litho and post-etch. The new coater/developer hardware and processes are evaluated through a robust characterization methodology that includes an understanding of the defect modes at ADI (after development inspection) and AEI (after etch inspection), as well its ultimate correlation to electrical yield.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"1","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2658880","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 1

Abstract

Extreme ultraviolet lithography (EUVL) has overcome significant challenges to become an essential enabler to the logic and memory scaling roadmap. Despite its significant progress, resist photo speed, and defectivity remains the main concerns for high-volume manufacturing. To overcome these issues, high-performance EUV resist processes are needed. The high-performance resist process must simultaneously meet multiple requirements, such as a high resolution, high sensitivity, low roughness, low defect level, and good global CD uniformity (CDU). One of the high-performance resist candidates for future EUV scaling, and high NA EUV is Metal Oxide Resist (MOR). In our work, we introduce the new coater/developer hardware and new resist development techniques to improve photo speed, defectivity, and CDU without degradation of roughness in MOR. We will show that the new development methods significantly improve EUV dose to size (DtS) and micro-bridge (MB) while maintaining resist roughness performance post litho and post-etch. The new coater/developer hardware and processes are evaluated through a robust characterization methodology that includes an understanding of the defect modes at ADI (after development inspection) and AEI (after etch inspection), as well its ultimate correlation to electrical yield.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
基于亚30nm节距图形新开发技术的自旋金属抗氧化物性能优化
极紫外光刻(EUVL)已经克服了重大挑战,成为逻辑和内存扩展路线图的重要推动者。尽管取得了重大进展,但抗蚀剂光刻速度和缺陷仍然是大批量生产的主要问题。为了克服这些问题,需要高性能的EUV抗蚀工艺。高性能抗蚀剂工艺必须同时满足多种要求,如高分辨率、高灵敏度、低粗糙度、低缺陷水平和良好的全局CD均匀性(CDU)。金属氧化物抗蚀剂(MOR)是未来EUV缩放和高NA EUV的高性能抗蚀剂之一。在我们的工作中,我们介绍了新的涂布机/显影剂硬件和新的抗蚀剂显影技术,以提高光速度,缺陷和CDU,而不会降低MOR中的粗糙度。我们将展示新的开发方法显着提高EUV剂量尺寸(DtS)和微桥(MB),同时保持光刻和蚀刻后的抗粗糙度性能。新的涂层机/显影机硬件和工艺通过强大的表征方法进行评估,包括了解ADI(开发检查后)和AEI(蚀刻检查后)的缺陷模式,以及其与电产率的最终相关性。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Considerations in the design of photoacid generators Predicting the critical features of the chemically-amplified resist profile based on machine learning Application of double exposure technique in plasmonic lithography The damage control of sub layer while ion-driven etching with vertical carbon profile implemented Ultra-high carbon fullerene-based spin-on-carbon hardmasks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1