VERVE: A framework for variation-aware energy efficient synthesis of NoC-based MPSoCs with voltage islands

N. Kapadia, S. Pasricha
{"title":"VERVE: A framework for variation-aware energy efficient synthesis of NoC-based MPSoCs with voltage islands","authors":"N. Kapadia, S. Pasricha","doi":"10.1109/ISQED.2013.6523673","DOIUrl":null,"url":null,"abstract":"With feature sizes far below the wavelength of light, variations in fabrication processes are becoming more common and can lead to unpredictable behavior in modern multiprocessor system-on-chip (MPSoC) designs. The design costs associated with margining required to overcome this unpredictability can be prohibitively high. System-level design approaches that are aware of these variations can be crucial for designing energy-efficient systems. We note that by performing voltage island placement appropriately, the two major unintended consequences of variations on the circuit characteristics (altered delay and power dissipation) can be traded-off, in order to minimize overall system energy. To this end, we propose a novel design-time system-level synthesis framework that is cognizant of process variations while mapping cores operating at specific supply voltages to a die and allocating communication routes on a 2D-mesh network-on-chip (NoC) topology for optimal energy-efficiency. Our experiments with real-world and synthetic application benchmarks show that our framework achieves 3.4% savings in computation energy and 19% savings in communication energy compared to the best known prior work on NoC-based MPSoC synthesis that considers process variations.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"11","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"International Symposium on Quality Electronic Design (ISQED)","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1109/ISQED.2013.6523673","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 11

Abstract

With feature sizes far below the wavelength of light, variations in fabrication processes are becoming more common and can lead to unpredictable behavior in modern multiprocessor system-on-chip (MPSoC) designs. The design costs associated with margining required to overcome this unpredictability can be prohibitively high. System-level design approaches that are aware of these variations can be crucial for designing energy-efficient systems. We note that by performing voltage island placement appropriately, the two major unintended consequences of variations on the circuit characteristics (altered delay and power dissipation) can be traded-off, in order to minimize overall system energy. To this end, we propose a novel design-time system-level synthesis framework that is cognizant of process variations while mapping cores operating at specific supply voltages to a die and allocating communication routes on a 2D-mesh network-on-chip (NoC) topology for optimal energy-efficiency. Our experiments with real-world and synthetic application benchmarks show that our framework achieves 3.4% savings in computation energy and 19% savings in communication energy compared to the best known prior work on NoC-based MPSoC synthesis that considers process variations.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
VERVE:一个具有电压岛的基于noc的mpsoc的变化感知节能合成框架
随着特征尺寸远低于光的波长,制造工艺的变化变得越来越普遍,并可能导致现代多处理器片上系统(MPSoC)设计中不可预测的行为。与克服这种不可预测性所需的保证金相关的设计成本可能高得令人望而却步。了解这些变化的系统级设计方法对于设计节能系统至关重要。我们注意到,通过适当地执行电压岛放置,可以权衡电路特性变化的两个主要意想不到的后果(改变的延迟和功耗),以最小化整个系统能量。为此,我们提出了一种新的设计时系统级综合框架,该框架能够识别工艺变化,同时将在特定电源电压下工作的内核映射到芯片上,并在2d网格片上网络(NoC)拓扑上分配通信路由,以实现最佳能效。我们对现实世界和合成应用基准的实验表明,与考虑工艺变化的基于noc的MPSoC合成的最著名的先前工作相比,我们的框架在计算能量方面节省了3.4%,在通信能量方面节省了19%。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Fast FPGA-based fault injection tool for embedded processors Effective thermal control techniques for liquid-cooled 3D multi-core processors Analysis and reliability test to improve the data retention performance of EPROM circuits Increasing the security level of analog IPs by using a dedicated vulnerability analysis methodology Easy-to-build Arbiter Physical Unclonable Function with enhanced challenge/response set
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1