首页 > 最新文献

International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
Diagnosis of small delay defects arising due to manufacturing imperfections using path delay measurements 利用路径延迟测量诊断由于制造缺陷引起的小延迟缺陷
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523655
Ahish Mysore Somashekar, S. Tragoudas
A boolean satisfiability based approach capable of identifying the location of embedded segments with small delay defects, arising due to process variations, is proposed. Furthermore, a novel algorithmic framework is presented to derive swift solutions for the generated conjunctive normal form. To our knowledge, this is the first approach which guarantees that one of the solutions describes the actual defective configurations. Experimental analysis on ISCAS and ITC benchmark suites show that the proposed approach is highly scalable and identifies the location of multiple delay defects.
提出了一种基于布尔可满足性的方法,该方法能够识别由于工艺变化而产生的具有小延迟缺陷的嵌入段的位置。在此基础上,提出了一种新的算法框架,对生成的合取范式进行快速求解。据我们所知,这是第一种保证其中一个解决方案描述实际缺陷配置的方法。在ISCAS和ITC测试套件上的实验分析表明,该方法具有较高的可扩展性,并能识别出多个延迟缺陷的位置。
{"title":"Diagnosis of small delay defects arising due to manufacturing imperfections using path delay measurements","authors":"Ahish Mysore Somashekar, S. Tragoudas","doi":"10.1109/ISQED.2013.6523655","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523655","url":null,"abstract":"A boolean satisfiability based approach capable of identifying the location of embedded segments with small delay defects, arising due to process variations, is proposed. Furthermore, a novel algorithmic framework is presented to derive swift solutions for the generated conjunctive normal form. To our knowledge, this is the first approach which guarantees that one of the solutions describes the actual defective configurations. Experimental analysis on ISCAS and ITC benchmark suites show that the proposed approach is highly scalable and identifies the location of multiple delay defects.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115471178","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Stochastic behavioral modeling of analog/mixed-signal circuits by maximizing entropy 基于熵最大化的模拟/混合信号电路随机行为建模
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523668
R. Krishnan, Wei Wu, Fang Gong, Lei He
Maximum entropy (MAXENT) is a powerful and flexible method for estimating the arbitrary probabilistic distribution of a stochastic variable with moment constraints. However, modeling the stochastic behavior of analog/mixed-signal (AMS) circuits using MAXENT is still unknown. In this paper, we present a MAXENT based approach to efficiently model the arbitrary behavioral distribution of AMS circuits with high accuracy. The exact behavioral distribution can be approximated by a product of exponential functions with different Lagrangian multipliers. The closest approximation can be obtained by maximizing Shannon's information entropy subject to moment constraints, leading to a nonlinear system. Classic Newton's method is used to solve the nonlinear system for the Lagrangian multipliers, which can further recover the arbitrary behavioral distribution of AMS circuits. Extensive experiments on different circuits demonstrate that the proposed MAXENT based approach offers better stability and improves the accuracy up to 110% when compared to previous AWE-based moment matching approaches, and offers up to 592x speedup when compared to Monte Carlo method.
最大熵(MAXENT)是一种强大而灵活的方法,用于估计具有矩约束的随机变量的任意概率分布。然而,利用MAXENT建模模拟/混合信号(AMS)电路的随机行为仍然是未知的。在本文中,我们提出了一种基于MAXENT的方法来高效、高精度地模拟AMS电路的任意行为分布。精确的行为分布可以用不同拉格朗日乘子的指数函数的乘积来近似。在矩约束下,通过最大化香农信息熵来获得最接近的近似,从而得到非线性系统。采用经典牛顿法求解拉格朗日乘法器的非线性系统,可以进一步恢复AMS电路的任意行为分布。在不同电路上进行的大量实验表明,与之前基于awe的矩匹配方法相比,基于MAXENT的方法具有更好的稳定性,精度提高了110%,与蒙特卡罗方法相比,速度提高了592x。
{"title":"Stochastic behavioral modeling of analog/mixed-signal circuits by maximizing entropy","authors":"R. Krishnan, Wei Wu, Fang Gong, Lei He","doi":"10.1109/ISQED.2013.6523668","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523668","url":null,"abstract":"Maximum entropy (MAXENT) is a powerful and flexible method for estimating the arbitrary probabilistic distribution of a stochastic variable with moment constraints. However, modeling the stochastic behavior of analog/mixed-signal (AMS) circuits using MAXENT is still unknown. In this paper, we present a MAXENT based approach to efficiently model the arbitrary behavioral distribution of AMS circuits with high accuracy. The exact behavioral distribution can be approximated by a product of exponential functions with different Lagrangian multipliers. The closest approximation can be obtained by maximizing Shannon's information entropy subject to moment constraints, leading to a nonlinear system. Classic Newton's method is used to solve the nonlinear system for the Lagrangian multipliers, which can further recover the arbitrary behavioral distribution of AMS circuits. Extensive experiments on different circuits demonstrate that the proposed MAXENT based approach offers better stability and improves the accuracy up to 110% when compared to previous AWE-based moment matching approaches, and offers up to 592x speedup when compared to Monte Carlo method.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123144127","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
A cost-effective 45nm 6T-SRAM reducing 50mV Vmin and 53% standby leakage with multi-Vt asymmetric halo MOS and write assist circuitry 一种具有成本效益的45nm 6T-SRAM,采用多vt不对称halo MOS和写入辅助电路,可降低50mV Vmin和53%待机泄漏
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523648
K. Nii, M. Yabuuchi, H. Fujiwara, Y. Tsukamoto, Y. Ishii, T. Matsumura, Y. Matsuda
We propose an enhanced high-density 6T-SRAM bitcell with multi-Vt asymmetric halo implant dose MOSFET (AH-MOS) by introducing additional masks. Modified mask structure contributes to reduce the number of halo implant dose masks and achieves dense 0.37 μm2 6T-SRAM bitcell without any area overhead, shrinking to a half size of our previous work. 4-Mbit SRAM test chips are fabricated using 45-nm bulk CMOS technology. Combining with write assist circuitry, the Vmin is reduced by 50 mV and standby leakage by 53%.
我们提出了一种高密度的6T-SRAM位单元,通过引入额外的掩膜,具有多vt不对称晕植入剂量的MOSFET (AH-MOS)。改进的掩模结构减少了光晕植入剂量掩模的数量,实现了密度为0.37 μm2的6T-SRAM位元,没有任何面积开销,缩小到以前工作的一半大小。4mbit SRAM测试芯片采用45纳米体CMOS技术制造。结合写入辅助电路,Vmin降低了50 mV,待机泄漏降低了53%。
{"title":"A cost-effective 45nm 6T-SRAM reducing 50mV Vmin and 53% standby leakage with multi-Vt asymmetric halo MOS and write assist circuitry","authors":"K. Nii, M. Yabuuchi, H. Fujiwara, Y. Tsukamoto, Y. Ishii, T. Matsumura, Y. Matsuda","doi":"10.1109/ISQED.2013.6523648","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523648","url":null,"abstract":"We propose an enhanced high-density 6T-SRAM bitcell with multi-Vt asymmetric halo implant dose MOSFET (AH-MOS) by introducing additional masks. Modified mask structure contributes to reduce the number of halo implant dose masks and achieves dense 0.37 μm2 6T-SRAM bitcell without any area overhead, shrinking to a half size of our previous work. 4-Mbit SRAM test chips are fabricated using 45-nm bulk CMOS technology. Combining with write assist circuitry, the Vmin is reduced by 50 mV and standby leakage by 53%.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115733886","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Tabu search based cells placement in nanofabric architectures with restricted connectivity 连接受限的纳米结构中基于禁忌搜索的细胞放置
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523656
S. M. Sait, A. Arafeh
New advances in nano-electronics have led to the introduction of CMOL (CMOS/Nano-devices hybrid) circuits which consists of an overlay of a nanowires over a CMOS stack. CMOL circuits can implement a netlist of NOR gates and Inverters using diode-like nanodevices. CMOL has inherently restricted connectivity due to limited nanowires length. Therefore connectivity of the circuit's elements is constrained to be within a certain radius, else an intermediary buffers are required. In this paper we present a Tabu search (TS) algorithm to address cells placement problem in CMOL. The Heuristic is engineered to provide feasible circuit implementations by efficient exploration of search space. Empirical results for ISCAS'89 benchmarks are compared with previous solutions using GA, MA, and LRMA heuristics. Results show that in almost all cases, TS exhibits more intelligent search of the solutions subspace, and is able to find better solutions. For all tested benchmarks over 90% reduction in average CPU processing time when compared with best published techniques was obtained.
纳米电子学的新进展导致了CMOL (CMOS/纳米器件混合)电路的引入,该电路由纳米线覆盖在CMOS堆栈上组成。CMOL电路可以使用类似二极管的纳米器件实现NOR门和逆变器的网络列表。由于纳米线的长度有限,CMOL的连接性受到限制。因此,电路元件的连通性被限制在一定的半径内,否则需要中间缓冲区。本文提出了一种禁忌搜索(TS)算法来解决CMOL中细胞的放置问题。启发式设计通过对搜索空间的有效探索来提供可行的电路实现。ISCAS'89基准的实证结果与以前使用GA, MA和LRMA启发式的解决方案进行了比较。结果表明,在几乎所有情况下,TS都表现出更智能的解子空间搜索,能够找到更好的解。对于所有测试基准,与已发表的最佳技术相比,平均CPU处理时间减少了90%以上。
{"title":"Tabu search based cells placement in nanofabric architectures with restricted connectivity","authors":"S. M. Sait, A. Arafeh","doi":"10.1109/ISQED.2013.6523656","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523656","url":null,"abstract":"New advances in nano-electronics have led to the introduction of CMOL (CMOS/Nano-devices hybrid) circuits which consists of an overlay of a nanowires over a CMOS stack. CMOL circuits can implement a netlist of NOR gates and Inverters using diode-like nanodevices. CMOL has inherently restricted connectivity due to limited nanowires length. Therefore connectivity of the circuit's elements is constrained to be within a certain radius, else an intermediary buffers are required. In this paper we present a Tabu search (TS) algorithm to address cells placement problem in CMOL. The Heuristic is engineered to provide feasible circuit implementations by efficient exploration of search space. Empirical results for ISCAS'89 benchmarks are compared with previous solutions using GA, MA, and LRMA heuristics. Results show that in almost all cases, TS exhibits more intelligent search of the solutions subspace, and is able to find better solutions. For all tested benchmarks over 90% reduction in average CPU processing time when compared with best published techniques was obtained.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121250859","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Analysis of very large resistive networks using low distortion embedding 基于低失真嵌入的超大电阻网络分析
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523659
S. Koranne
VLSI designs contain very large resistive networks consisting of hundreds of millions (10e11) of resistors. Accurate parasitic extraction and analysis of such large networks is essential in many phases of the VLSI design flow. Existing techniques to analyze large resistive networks using linear solvers, despite recent optimizations, still take prohibitive computation time. In this paper a new technique based on low-distortion embedding to estimate point-to-point effective resistance is presented. Our proposed method employs recently discovered techniques from theoretical computer science to compute an ε-approximate resistance embedding matrix from which effective resistances of all node pairs can be estimated as easily as taking the Euclidean norm of column differences. The proposed method runs in almost linear time (linear in the number of resistors), and the accuracy (ε) is user specified. The method has been implemented and experimental results on large networks containing upto 10e11 nodes are presented. Compared to existing method using sparse linear solvers, our methods are more than 10 times faster on mesh networks and more importantly given a network of n nodes, allow computation of effective resistance between arbitrary node pairs in O(lg(n)) time (lg denotes logarithm to base 2).
VLSI设计包含由数亿(10e11)个电阻组成的非常大的电阻网络。在超大规模集成电路设计流程的许多阶段,精确的寄生提取和分析是必不可少的。使用线性求解器分析大型电阻网络的现有技术,尽管最近进行了优化,但仍然需要大量的计算时间。提出了一种基于低失真嵌入的点对点有效电阻估计方法。我们提出的方法采用了最近发现的理论计算机科学技术来计算ε-近似电阻嵌入矩阵,从该矩阵中可以很容易地估计所有节点对的有效电阻,就像取列差的欧几里得范数一样。该方法的运行时间几乎为线性(电阻器数量为线性),且精度(ε)由用户指定。该方法已在包含多达10e11个节点的大型网络上实现,并给出了实验结果。与使用稀疏线性解算器的现有方法相比,我们的方法在网状网络上的速度要快10倍以上,更重要的是,给定n个节点的网络,允许在O(lg(n))时间内计算任意节点对之间的有效阻力(lg表示以2为底的对数)。
{"title":"Analysis of very large resistive networks using low distortion embedding","authors":"S. Koranne","doi":"10.1109/ISQED.2013.6523659","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523659","url":null,"abstract":"VLSI designs contain very large resistive networks consisting of hundreds of millions (10e11) of resistors. Accurate parasitic extraction and analysis of such large networks is essential in many phases of the VLSI design flow. Existing techniques to analyze large resistive networks using linear solvers, despite recent optimizations, still take prohibitive computation time. In this paper a new technique based on low-distortion embedding to estimate point-to-point effective resistance is presented. Our proposed method employs recently discovered techniques from theoretical computer science to compute an ε-approximate resistance embedding matrix from which effective resistances of all node pairs can be estimated as easily as taking the Euclidean norm of column differences. The proposed method runs in almost linear time (linear in the number of resistors), and the accuracy (ε) is user specified. The method has been implemented and experimental results on large networks containing upto 10e11 nodes are presented. Compared to existing method using sparse linear solvers, our methods are more than 10 times faster on mesh networks and more importantly given a network of n nodes, allow computation of effective resistance between arbitrary node pairs in O(lg(n)) time (lg denotes logarithm to base 2).","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124802160","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Canonical ordering of instances to immunize the FPGA place and route flow from ECO-induced variance 规范的实例排序,使FPGA的位置和路由流免受eco引起的变化
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523635
Avijit Dutta, Neil Tuttle, K. Anandh
Engineering change order (ECO)s for FPGA-based designs often require design changes late in the design process in order to correct functional, timing, and/or technological problems. Typically, after an ECO process a small portion of the circuit netlist is changed. To take advantage of the enormous resources and time already spent on place and route flow, it is desirable to maintain similar post-routing delay characteristics to the pre-ECO stage to avoid further expensive design iterations. Most FPGA tools use the variance to their advantage to explore the solution space in the design optimization phase. FPGA companies can afford to run multiple passes and just retain the best solution. The embedded systems companies cannot afford multiple pass compile times especially in ECO situations. Note that the variance reduction technique proposed in this paper is applicable only in the ECO situations and not during design optimization phase where variance plays an advantageous role. Predictability is not just unique to ECO, but success of an ECO is highly dependent on predictability and that's where the proposed approach plays a crucial role. The ECO process may change a small subset of the circuit netlist, which may result in a minor variation in the instance list order seen by the packer. Most packing heuristics, including fast greedy heuristics as well as relatively slower non-greedy heuristics, process the input netlist in a certain order and have varying degrees of dependence on the initial instance order. Even a slight variation may result in a substantially different packing and the subsequent placement and routing results may also change. In the worst case, the post-routing delay may fail timing constraints and require inexpensive design iteration. In this paper, we propose a fast canonical ordering technique that either guarantees a unique instance order if the ECO process caused a change in the initial instance order or minimizes the perturbation to the instance order as seen by the packer stage from any significant ECO-induced change to the initial instance order. This helps in isolating the postpacker place and route flow from netlist changes and drastically reduces the variance in post routing delay. Experimental results demonstrate zero variance against random shuffling of instances before the packer stage (to simulate an ECO scenario). Experimental results for other non-functional or slight functional modifications to the input netlist show greatly reduced post-routing delay variance.
基于fpga设计的工程变更令(ECO)通常要求在设计过程的后期进行设计变更,以纠正功能、时间和/或技术问题。通常,在一个ECO过程之后,电路网表的一小部分被改变。为了充分利用已经花费在地点和路线流上的大量资源和时间,最好保持与eco前阶段相似的路由后延迟特性,以避免进一步昂贵的设计迭代。大多数FPGA工具利用方差来探索设计优化阶段的解决方案空间。FPGA公司可以负担得起运行多个通道并保留最佳解决方案。嵌入式系统公司无法承受多次通过编译时间,特别是在ECO情况下。请注意,本文提出的方差减小技术仅适用于ECO情况,而不适用于方差发挥优势作用的设计优化阶段。可预测性不仅仅是ECO所独有的,ECO的成功也高度依赖于可预测性,这就是建议的方法发挥关键作用的地方。ECO过程可能会改变电路网络列表的一小部分,这可能会导致封隔器看到的实例列表顺序发生微小变化。大多数包装启发式,包括快速的贪婪启发式和相对较慢的非贪婪启发式,都以一定的顺序处理输入网表,并且对初始实例顺序有不同程度的依赖。即使是微小的变化也可能导致包装的巨大差异,随后的放置和布线结果也可能发生变化。在最坏的情况下,路由后延迟可能无法满足时间限制,并且需要廉价的设计迭代。在本文中,我们提出了一种快速规范排序技术,如果ECO过程引起初始实例顺序的变化,该技术可以保证唯一的实例顺序,或者最小化从ECO引起的任何重大变化到初始实例顺序的封隔器阶段所看到的对实例顺序的扰动。这有助于隔离寄件人的地方和路由流从网表的变化,并大大减少变化后路由延迟。实验结果表明,在封隔器阶段(模拟ECO场景)之前,对随机洗牌实例的方差为零。对输入网表进行其他非功能性或轻微功能性修改的实验结果表明,路由后延迟方差大大降低。
{"title":"Canonical ordering of instances to immunize the FPGA place and route flow from ECO-induced variance","authors":"Avijit Dutta, Neil Tuttle, K. Anandh","doi":"10.1109/ISQED.2013.6523635","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523635","url":null,"abstract":"Engineering change order (ECO)s for FPGA-based designs often require design changes late in the design process in order to correct functional, timing, and/or technological problems. Typically, after an ECO process a small portion of the circuit netlist is changed. To take advantage of the enormous resources and time already spent on place and route flow, it is desirable to maintain similar post-routing delay characteristics to the pre-ECO stage to avoid further expensive design iterations. Most FPGA tools use the variance to their advantage to explore the solution space in the design optimization phase. FPGA companies can afford to run multiple passes and just retain the best solution. The embedded systems companies cannot afford multiple pass compile times especially in ECO situations. Note that the variance reduction technique proposed in this paper is applicable only in the ECO situations and not during design optimization phase where variance plays an advantageous role. Predictability is not just unique to ECO, but success of an ECO is highly dependent on predictability and that's where the proposed approach plays a crucial role. The ECO process may change a small subset of the circuit netlist, which may result in a minor variation in the instance list order seen by the packer. Most packing heuristics, including fast greedy heuristics as well as relatively slower non-greedy heuristics, process the input netlist in a certain order and have varying degrees of dependence on the initial instance order. Even a slight variation may result in a substantially different packing and the subsequent placement and routing results may also change. In the worst case, the post-routing delay may fail timing constraints and require inexpensive design iteration. In this paper, we propose a fast canonical ordering technique that either guarantees a unique instance order if the ECO process caused a change in the initial instance order or minimizes the perturbation to the instance order as seen by the packer stage from any significant ECO-induced change to the initial instance order. This helps in isolating the postpacker place and route flow from netlist changes and drastically reduces the variance in post routing delay. Experimental results demonstrate zero variance against random shuffling of instances before the packer stage (to simulate an ECO scenario). Experimental results for other non-functional or slight functional modifications to the input netlist show greatly reduced post-routing delay variance.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122743554","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Increasing the security level of analog IPs by using a dedicated vulnerability analysis methodology 通过使用专门的漏洞分析方法提高模拟ip的安全级别
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523662
Noemie Beringuier-Boher, D. Hély, V. Beroulle, J. Damiens, P. Candelier
With the increasing diffusion of multi-purpose systems such as smart phones and set-top boxes, security requirements are becoming as important as power consumption and silicon area constraints in SoCs and ASICs conception. In the same time, the complexity of IPs and the new technology nodes make the security evaluation more difficult. Indeed, predicting how a circuit behaves when pushed beyond its specifications limits is now a harder task. While security concerns in software development and digital hardware design are very well known, analog hardware security issues are not really studied. This paper first introduces the security concerns for analog and mixed circuits and then presents a vulnerability analysis methodology dedicated to them. Using this methodology, the security level of AMS SoC and Analog IP is increased by evaluating objectively its vulnerabilities and selecting appropriated countermeasure in the earliest design steps.
随着智能手机和机顶盒等多用途系统的日益普及,在soc和asic概念中,安全要求变得与功耗和硅面积限制一样重要。同时,ip的复杂性和新技术节点的出现也增加了安全评估的难度。事实上,预测电路在超出其规格限制时的表现现在是一项更难的任务。虽然软件开发和数字硬件设计中的安全问题是众所周知的,但模拟硬件的安全问题并没有得到真正的研究。本文首先介绍了模拟电路和混合电路的安全问题,然后提出了一种针对模拟电路和混合电路的漏洞分析方法。利用该方法,通过客观评估AMS SoC和Analog IP的漏洞,并在设计的早期阶段选择适当的对策,提高了AMS SoC和Analog IP的安全水平。
{"title":"Increasing the security level of analog IPs by using a dedicated vulnerability analysis methodology","authors":"Noemie Beringuier-Boher, D. Hély, V. Beroulle, J. Damiens, P. Candelier","doi":"10.1109/ISQED.2013.6523662","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523662","url":null,"abstract":"With the increasing diffusion of multi-purpose systems such as smart phones and set-top boxes, security requirements are becoming as important as power consumption and silicon area constraints in SoCs and ASICs conception. In the same time, the complexity of IPs and the new technology nodes make the security evaluation more difficult. Indeed, predicting how a circuit behaves when pushed beyond its specifications limits is now a harder task. While security concerns in software development and digital hardware design are very well known, analog hardware security issues are not really studied. This paper first introduces the security concerns for analog and mixed circuits and then presents a vulnerability analysis methodology dedicated to them. Using this methodology, the security level of AMS SoC and Analog IP is increased by evaluating objectively its vulnerabilities and selecting appropriated countermeasure in the earliest design steps.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114572499","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Configurable redundant via-aware standard cell design considering multi-via mechanism 考虑多通孔机制的可配置冗余通孔感知标准单元设计
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523629
Tsang-Chi Kan, Hung-Ming Hong, Ying-Jung Chen, S. Ruan
Well designed redundant via-aware standard cells (SCs) can increase the redundant via1 insertion rate in cell-based designs. However, with conventional methods, manual and visual-based check are required to locate pins and tune geometries in layouts, which can be very time consuming and unreliable. Instead, an O(NlogN) via-aware standard cell optimization algorithm is developed. The proposed method considers various redundant via configurations such as double-via and rectangle-via which effectively increase the redundant via insertion rate for both concurrent routing and post-layout optimization. As a result, the proposed scheme not only addresses the problem of a low via1 insertion rate in nanometer regimes, but also demonstrates an efficient automatic layout optimizer for designing standard cells. Compared to the conventional standard library, the proposed method saves considerable design effort and time. Experimental results reveal that the proposed method effectively improves the redundant via1 insertion rate by a total of 26.3%.
在基于细胞的设计中,设计良好的冗余过孔感知标准细胞(SCs)可以提高冗余过孔插入率。然而,使用传统方法,需要手动和基于视觉的检查来定位引脚和调整布局中的几何形状,这可能非常耗时且不可靠。取而代之的是,开发了一个O(NlogN)通过感知的标准单元优化算法。该方法考虑了双孔和矩形孔等冗余孔结构,有效地提高了冗余孔插入率,实现了并行布线和布局后优化。结果表明,该方案不仅解决了在纳米环境下低via1插入率的问题,而且为标准单元的设计提供了一种高效的自动布局优化器。与传统的标准库相比,该方法节省了大量的设计精力和时间。实验结果表明,该方法有效地将冗余via1插入率提高了26.3%。
{"title":"Configurable redundant via-aware standard cell design considering multi-via mechanism","authors":"Tsang-Chi Kan, Hung-Ming Hong, Ying-Jung Chen, S. Ruan","doi":"10.1109/ISQED.2013.6523629","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523629","url":null,"abstract":"Well designed redundant via-aware standard cells (SCs) can increase the redundant via1 insertion rate in cell-based designs. However, with conventional methods, manual and visual-based check are required to locate pins and tune geometries in layouts, which can be very time consuming and unreliable. Instead, an O(NlogN) via-aware standard cell optimization algorithm is developed. The proposed method considers various redundant via configurations such as double-via and rectangle-via which effectively increase the redundant via insertion rate for both concurrent routing and post-layout optimization. As a result, the proposed scheme not only addresses the problem of a low via1 insertion rate in nanometer regimes, but also demonstrates an efficient automatic layout optimizer for designing standard cells. Compared to the conventional standard library, the proposed method saves considerable design effort and time. Experimental results reveal that the proposed method effectively improves the redundant via1 insertion rate by a total of 26.3%.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130387938","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A method to determine the sensitization probability of a non-robustly testable path 一种确定非鲁棒可测试路径敏化概率的方法
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523683
Dheepakkumaran Jayaraman, S. Tragoudas
This paper presents a novel approach to determine the sensitization probability of a non-robustly testable path using probability density functions (PDFs). The proposed approach systematically refines a set of patterns that sensitize the path non-robustly which initial set has been derived with existing methods, and is kept implicitly. Accurate measure of the sensitization probability is obtained fast by avoiding Monte-Carlo. It is shown experimentally that the proposed approach is accurate and much faster than Monte-Carlo, and thus can be used to rank a collection of non-robust paths considering their sensitization characteristics.
本文提出了一种利用概率密度函数确定非鲁棒可测路径敏化概率的新方法。该方法系统地改进了一套非鲁棒敏感路径的模式,该模式对现有方法导出的初始集进行了非鲁棒敏感,并隐式保持。通过避免蒙特卡罗方法,可以快速准确地测量敏化概率。实验表明,所提出的方法比蒙特卡罗方法准确且速度快得多,因此可以用于考虑其敏化特性的非鲁棒路径集合的排序。
{"title":"A method to determine the sensitization probability of a non-robustly testable path","authors":"Dheepakkumaran Jayaraman, S. Tragoudas","doi":"10.1109/ISQED.2013.6523683","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523683","url":null,"abstract":"This paper presents a novel approach to determine the sensitization probability of a non-robustly testable path using probability density functions (PDFs). The proposed approach systematically refines a set of patterns that sensitize the path non-robustly which initial set has been derived with existing methods, and is kept implicitly. Accurate measure of the sensitization probability is obtained fast by avoiding Monte-Carlo. It is shown experimentally that the proposed approach is accurate and much faster than Monte-Carlo, and thus can be used to rank a collection of non-robust paths considering their sensitization characteristics.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121389210","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Design of ultra high density and low power computational blocks using nano-magnets 利用纳米磁体设计超高密度低功耗计算块
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523614
M. Sharad, K. Yogendra, K. Kwon, K. Roy
All Spin Logic (ASL) employs multiple nano-magnets interacting through spin-torque using metallic interconnect. ASL gates, being magneto-metallic, can operate at ultra low terminal voltage of few millivolts, and hence can be exploited for low power computation. Since, nano-magnets can preserve their state upon withdrawal of supply voltage, ASL can be pipelined for higher performance, without insertion of extra latches. However, pipelining requires the use of clocked CMOS transistors, which significantly increase the required supply voltage. In this work we analyse the design of an 8-bit, pipelined ASL multiplier, integrated with CMOS clocking circuitry. We propose a design scheme for 3-D ASL, which involves stacking of multiple ASL layers that are clocked using the same CMOS transistors. Stacking of N ASL layers using the proposed scheme can enhance the power saving as well as area density by factor of N. The proposed design scheme for magneto-metallic computational blocks can achieve more than two order of magnitude higher density and 10x lower power consumption as compared to 15nm CMOS design.
所有自旋逻辑(ASL)采用多个纳米磁体通过金属互连通过自旋扭矩相互作用。ASL门是磁性金属,可以在几毫伏的超低端电压下工作,因此可以用于低功耗计算。由于纳米磁铁可以在电源电压撤出时保持其状态,因此ASL可以流水线化以获得更高的性能,而无需插入额外的锁存器。然而,流水线需要使用带时钟的CMOS晶体管,这大大增加了所需的电源电压。在这项工作中,我们分析了一个集成了CMOS时钟电路的8位流水线ASL乘法器的设计。我们提出了一种三维ASL的设计方案,该方案涉及使用相同CMOS晶体管进行时钟的多个ASL层的堆叠。利用该方案叠加N个ASL层,可以将功耗和面积密度提高N倍。与15nm CMOS设计相比,该方案的磁金属计算块密度提高了两个数量级以上,功耗降低了10倍。
{"title":"Design of ultra high density and low power computational blocks using nano-magnets","authors":"M. Sharad, K. Yogendra, K. Kwon, K. Roy","doi":"10.1109/ISQED.2013.6523614","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523614","url":null,"abstract":"All Spin Logic (ASL) employs multiple nano-magnets interacting through spin-torque using metallic interconnect. ASL gates, being magneto-metallic, can operate at ultra low terminal voltage of few millivolts, and hence can be exploited for low power computation. Since, nano-magnets can preserve their state upon withdrawal of supply voltage, ASL can be pipelined for higher performance, without insertion of extra latches. However, pipelining requires the use of clocked CMOS transistors, which significantly increase the required supply voltage. In this work we analyse the design of an 8-bit, pipelined ASL multiplier, integrated with CMOS clocking circuitry. We propose a design scheme for 3-D ASL, which involves stacking of multiple ASL layers that are clocked using the same CMOS transistors. Stacking of N ASL layers using the proposed scheme can enhance the power saving as well as area density by factor of N. The proposed design scheme for magneto-metallic computational blocks can achieve more than two order of magnitude higher density and 10x lower power consumption as compared to 15nm CMOS design.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126425480","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
期刊
International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1