EUV lithography line-space pattern rectification using block copolymer directed self-assembly: a roughness and defectivity study

Julie Van Bel, L. Verstraete, H. Suh, S. De Gendt, P. Bézard, J. Vandereyken, Waikin Li, Matteo Beggiato, A. Tamaddon, C. Beral, Andreia Santos, Boaz Alperson, Y. Her
{"title":"EUV lithography line-space pattern rectification using block copolymer directed self-assembly: a roughness and defectivity study","authors":"Julie Van Bel, L. Verstraete, H. Suh, S. De Gendt, P. Bézard, J. Vandereyken, Waikin Li, Matteo Beggiato, A. Tamaddon, C. Beral, Andreia Santos, Boaz Alperson, Y. Her","doi":"10.1117/12.2657990","DOIUrl":null,"url":null,"abstract":"For printing the most critical features in semiconductor devices, single exposure extreme ultraviolet (EUV) lithography is quickly advancing as a replacement for ArF immersion-based multipatterning approaches. However, the transition from 193 nm to 13.5 nm light is severely limiting the number of photons produced by a given source power, leading to photon shot noise in EUV patterns. In addition, inhomogeneous distribution of components inside conventional photoresists is adding to the printing variability, especially when critical dimensions continue to shrink. As a result, stochastic issues leading to rough, non-uniform, and potentially defective patterns have become a major challenge for EUV lithography. A promising solution for this top-down patterning approach is complementing it with bottom-up directed self-assembly (DSA) of block copolymers. In combination with 193i lithography, DSA of lamellae forming block copolymers has previously shown favorable results for defining dense line-space patterns using LiNe flow.1 In this study, we investigate the complementarity of EUV + DSA for rectification of pitch 28 nm line-space patterns. Roughness and defectivity are critical factors that need to be controlled to make these patterns industrially relevant. We look at the impact of DSA material and processing parameters on line edge roughness and line width roughness in order to identify and mitigate the origins of pattern roughness. On the other hand, we also assess the different types of defect modes that are observed by means of optical defect inspection and ebeam review, and study the root causes for their formation. To wrap-up, the benefits of 1X DSA versus 3X DSA are presented by comparing EUV + DSA to LiNe flow.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"1","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2657990","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 1

Abstract

For printing the most critical features in semiconductor devices, single exposure extreme ultraviolet (EUV) lithography is quickly advancing as a replacement for ArF immersion-based multipatterning approaches. However, the transition from 193 nm to 13.5 nm light is severely limiting the number of photons produced by a given source power, leading to photon shot noise in EUV patterns. In addition, inhomogeneous distribution of components inside conventional photoresists is adding to the printing variability, especially when critical dimensions continue to shrink. As a result, stochastic issues leading to rough, non-uniform, and potentially defective patterns have become a major challenge for EUV lithography. A promising solution for this top-down patterning approach is complementing it with bottom-up directed self-assembly (DSA) of block copolymers. In combination with 193i lithography, DSA of lamellae forming block copolymers has previously shown favorable results for defining dense line-space patterns using LiNe flow.1 In this study, we investigate the complementarity of EUV + DSA for rectification of pitch 28 nm line-space patterns. Roughness and defectivity are critical factors that need to be controlled to make these patterns industrially relevant. We look at the impact of DSA material and processing parameters on line edge roughness and line width roughness in order to identify and mitigate the origins of pattern roughness. On the other hand, we also assess the different types of defect modes that are observed by means of optical defect inspection and ebeam review, and study the root causes for their formation. To wrap-up, the benefits of 1X DSA versus 3X DSA are presented by comparing EUV + DSA to LiNe flow.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
使用嵌段共聚物定向自组装的EUV光刻线空间图案整流:粗糙度和缺陷研究
为了打印半导体器件中最关键的特征,单曝光极紫外(EUV)光刻技术正在迅速发展,以取代基于ArF浸入式的多图像化方法。然而,从193nm到13.5 nm光的转换严重限制了给定源功率产生的光子数量,导致在EUV模式下的光子散粒噪声。此外,传统光刻胶内部成分的不均匀分布增加了印刷的可变性,特别是当关键尺寸继续缩小时。因此,导致粗糙、不均匀和潜在缺陷图案的随机问题已成为EUV光刻的主要挑战。对于这种自上而下的模式方法,一个很有前途的解决方案是用嵌段共聚物的自下而上定向自组装(DSA)来补充它。与193i光刻技术相结合,层状嵌段共聚物的DSA先前已经显示出使用LiNe flow定义密集线空间图案的有利结果在这项研究中,我们研究了EUV + DSA在整流间距28 nm线空间模式中的互补性。粗糙度和缺陷是需要控制的关键因素,以使这些模式具有工业相关性。我们研究了DSA材料和加工参数对线边缘粗糙度和线宽度粗糙度的影响,以识别和减轻图案粗糙度的来源。另一方面,我们也评估了通过光学缺陷检测和电子束评审所观察到的不同类型的缺陷模式,并研究了其形成的根本原因。综上所述,通过比较EUV + DSA与LiNe流来展示1X DSA与3X DSA的优势。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Considerations in the design of photoacid generators Predicting the critical features of the chemically-amplified resist profile based on machine learning Application of double exposure technique in plasmonic lithography The damage control of sub layer while ion-driven etching with vertical carbon profile implemented Ultra-high carbon fullerene-based spin-on-carbon hardmasks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1