Advanced development methods for high-NA EUV lithography

C. Dinh, S. Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, S. Fujimoto, S. Kawakami, S. Shimura, M. Muramatsu, Kayoko Cho, Xiang Liu, K. Nafus, M. Carcasi, Ankur Agarwal, M. Somervell, L. Huli, Kanzo Kato, M. Kocsis, P. de Schepper, S. Meyers, Lauren McQuade, K. Kasahara, J. Garcia Santaclara, R. Hoefnagels, C. Anderson, P. Naulleau
{"title":"Advanced development methods for high-NA EUV lithography","authors":"C. Dinh, S. Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, S. Fujimoto, S. Kawakami, S. Shimura, M. Muramatsu, Kayoko Cho, Xiang Liu, K. Nafus, M. Carcasi, Ankur Agarwal, M. Somervell, L. Huli, Kanzo Kato, M. Kocsis, P. de Schepper, S. Meyers, Lauren McQuade, K. Kasahara, J. Garcia Santaclara, R. Hoefnagels, C. Anderson, P. Naulleau","doi":"10.1117/12.2655928","DOIUrl":null,"url":null,"abstract":"One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"2","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2655928","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 2

Abstract

One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
高na极紫外光刻技术的先进开发方法
(极紫外)极紫外光刻成图链的关键步骤之一是极紫外光曝光后抗蚀剂图案的显影过程。在高数值孔径(NA) EUV光刻中,简单的传统开发工艺可能不足以实现低缺陷水平的超高分辨率特征。在我们之前的文献中,已经引入了一种名为ESPERTTM(增强灵敏度显影技术)的新开发方法,通过打破剂量-粗糙度权衡来提高0.33 NA EUV光刻的金属氧化物抗蚀剂(MOR)的性能。在这项工作中,该开发技术针对高na光刻进行了优化,不仅保留了先前ESPERTTM版本的优点,而且在更高的EUV灵敏度下降低了缺陷水平。这是由于新版本的ESPERTTM的能力,可以很容易地去除残留(未显影抗蚀剂)在低暴露剂量区域,以增强显影对比度。在16纳米半间距(HP)线/空间(L/S)模式上使用0.33 NA EUV扫描仪,采用新的开发方法,EUV剂量尺寸比(DtS)减少了约16%,同时开发后检查(ADI)总缺陷减少了约7倍。在另一种条件下,DtS从44.2 mJ/cm²降低到28.4 mJ/cm²(提高了36%),同时蚀刻后检测(AEI)单桥缺陷数量减少了一半。在美国劳伦斯伯克利国家实验室的0.5 NA曝光工具上使用该方法,曝光灵敏度和线宽粗糙度(LWR)分别提高了30%和21%。该工具还成功打印出了8 nm- hp的L/S图案。利用150 kV电子束(EB)光刻系统,利用ESPERTTM在22 nm厚的MOR抗蚀剂上成功打印了12 nm- hp的柱。这种新的开发方法具有高曝光灵敏度、低缺陷和超高分辨率的优点,有望成为高na EUV光刻的解决方案。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Considerations in the design of photoacid generators Predicting the critical features of the chemically-amplified resist profile based on machine learning Application of double exposure technique in plasmonic lithography The damage control of sub layer while ion-driven etching with vertical carbon profile implemented Ultra-high carbon fullerene-based spin-on-carbon hardmasks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1