An Integrated Approach towards VLSI Implementation of SFQ Logic using Standard Cell Library and Commercial Tool Suite

S. S. Meher, M. Eren Çelik, J. Ravi, A. Inamdar, Deepnarayan Gupta
{"title":"An Integrated Approach towards VLSI Implementation of SFQ Logic using Standard Cell Library and Commercial Tool Suite","authors":"S. S. Meher, M. Eren Çelik, J. Ravi, A. Inamdar, Deepnarayan Gupta","doi":"10.1088/1742-6596/2776/1/012007","DOIUrl":null,"url":null,"abstract":"The semiconductor industry seeks energy-efficient alternatives as Moore’s law nears its limits. The Single Flux Quantum (SFQ) integrated circuits (ICs) using thousands of niobium Josephson junctions (JJs) and operating at 4 K show great promise for digital computing circuits at high speed (>20 GHz) and low power (a few nW per junction). The leading logic families are Rapid Single Flux Quantum (RSFQ), and its energy-efficient variant (ERSFQ). IARPA’s SuperTools program aims to develop integrated design tools for superconductor electronics, targeting SFQ and Adiabatic Quantum-Flux-Parametron (AQFP) logic families. This paper presents a passive transmission line (PTL) based standard cell library for SFQ logic, designed with Synopsys Electronic Design Automation (EDA) software tools for MIT-LL 100μA/μm2 SFQ5ee fab node. The dual RSFQ/ERSFQ standard cell library facilitates seamless integration of SFQ RTL-to-GDS design flow with Synopsys Fusion Compiler, an automated design tool. The SFQ RTL-to-GDS flow entails logic synthesis, checking, placement, clock synthesis, and routing. Row-based placement for library cells and H-tree clock tree structures are employed. Fusion Compiler’s effectiveness is validated with Hypres designs such as finite impulse response (FIR) filters, scalable multiply-accumulate (MAC) units, and memory arrays, comparing single and dual clocking schemes. The synergy between Hypres and Synopsys achieves a milestone by demonstrating the design of a digital superconducting circuit with over 10 million JJs, facilitated by a fully automated design tool for the first time. Challenges in very large-scale SFQ scaling are also discussed.","PeriodicalId":16821,"journal":{"name":"Journal of Physics: Conference Series","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2024-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Journal of Physics: Conference Series","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1088/1742-6596/2776/1/012007","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

Abstract

The semiconductor industry seeks energy-efficient alternatives as Moore’s law nears its limits. The Single Flux Quantum (SFQ) integrated circuits (ICs) using thousands of niobium Josephson junctions (JJs) and operating at 4 K show great promise for digital computing circuits at high speed (>20 GHz) and low power (a few nW per junction). The leading logic families are Rapid Single Flux Quantum (RSFQ), and its energy-efficient variant (ERSFQ). IARPA’s SuperTools program aims to develop integrated design tools for superconductor electronics, targeting SFQ and Adiabatic Quantum-Flux-Parametron (AQFP) logic families. This paper presents a passive transmission line (PTL) based standard cell library for SFQ logic, designed with Synopsys Electronic Design Automation (EDA) software tools for MIT-LL 100μA/μm2 SFQ5ee fab node. The dual RSFQ/ERSFQ standard cell library facilitates seamless integration of SFQ RTL-to-GDS design flow with Synopsys Fusion Compiler, an automated design tool. The SFQ RTL-to-GDS flow entails logic synthesis, checking, placement, clock synthesis, and routing. Row-based placement for library cells and H-tree clock tree structures are employed. Fusion Compiler’s effectiveness is validated with Hypres designs such as finite impulse response (FIR) filters, scalable multiply-accumulate (MAC) units, and memory arrays, comparing single and dual clocking schemes. The synergy between Hypres and Synopsys achieves a milestone by demonstrating the design of a digital superconducting circuit with over 10 million JJs, facilitated by a fully automated design tool for the first time. Challenges in very large-scale SFQ scaling are also discussed.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
利用标准单元库和商用工具套件实现 SFQ 逻辑 VLSI 的集成方法
随着摩尔定律接近极限,半导体行业正在寻求高能效的替代方案。使用数千个铌约瑟夫森结(JJ)、工作温度为 4 K 的单通量量子集成电路(SFQ)为高速(大于 20 GHz)、低功耗(每个结仅有几 nW)的数字计算电路带来了巨大的发展前景。领先的逻辑系列是快速单通量量子(RSFQ)及其高能效变体(ERSFQ)。IARPA 的 SuperTools 计划旨在针对 SFQ 和绝热量子通量-参数子(AQFP)逻辑系列开发超导电子集成设计工具。本文介绍了基于无源传输线 (PTL) 的 SFQ 逻辑标准单元库,该标准单元库是利用 Synopsys 电子设计自动化 (EDA) 软件工具为 MIT-LL 100μA/μm2 SFQ5ee 晶圆厂节点设计的。双 RSFQ/ERSFQ 标准单元库有助于将 SFQ RTL-to-GDS 设计流程与自动设计工具 Synopsys Fusion Compiler 无缝集成。SFQ RTL-to-GDS 流程包括逻辑综合、检查、布局、时钟综合和布线。采用了基于行的库单元布局和 H 树时钟树结构。Fusion Compiler 的有效性通过 Hypres 设计进行了验证,如有限脉冲响应(FIR)滤波器、可扩展乘积(MAC)单元和存储器阵列,并对单时钟方案和双时钟方案进行了比较。Hypres 和 Synopsys 之间的协同作用实现了一个里程碑,首次利用全自动设计工具展示了超过 1000 万 JJ 的数字超导电路设计。此外,还讨论了超大规模 SFQ 扩展所面临的挑战。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
CiteScore
1.20
自引率
0.00%
发文量
0
期刊最新文献
Research and design of low-noise cooling fan for fuel cell vehicle Enhanced heat transfer technology for solar air heaters Comparison of thermo-catalytic and photo-assisted thermo-catalytic conversion of glucose to HMF with Cr-MOFs@ZrO2 Mechanical integrity analysis of caprock during the CO2 injection phase Numerical study of film cooling at the outlet of gas turbine exhaust
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1