AVF-driven parity optimization for MBU protection of in-core memory arrays

M. Maniatakos, M. Michael, Y. Makris
{"title":"AVF-driven parity optimization for MBU protection of in-core memory arrays","authors":"M. Maniatakos, M. Michael, Y. Makris","doi":"10.7873/DATE.2013.301","DOIUrl":null,"url":null,"abstract":"We propose an AVF-driven parity selection method for protecting modern microprocessor in-core memory arrays against MBUs. As MBUs constitute more than 50% of the upsets in latest technologies, error correcting codes or physical interleaving are typically employed to effectively protect out-of-core memory structures, such as caches. However, such methods are not applicable to high-performance in-core arrays, due to computational complexity, high delay and area overhead. To this end, we revisit parity as an effective mechanism to detect errors and we resort to pipeline flushing and checkpointing for correction. We demonstrate that optimal parity tree construction for MBU detection is a computationally complex problem, which we then formulate as an integer-linear-program (ILP). Experimental results on Alpha 21264 and Intel P6 in-core memory arrays demonstrate that optimal parity tree selection can achieve great vulnerability reduction, even when a small number of bits are added to the parity trees, compared to simple heuristics. Furthermore, the ILP formulation allows us to find better solutions by effectively exploring the solution space in the presence of multiple parity trees; results show that the presence of 2 parity trees offers a vulnerability reduction of more than 50% over a single parity tree.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"6","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.7873/DATE.2013.301","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 6

Abstract

We propose an AVF-driven parity selection method for protecting modern microprocessor in-core memory arrays against MBUs. As MBUs constitute more than 50% of the upsets in latest technologies, error correcting codes or physical interleaving are typically employed to effectively protect out-of-core memory structures, such as caches. However, such methods are not applicable to high-performance in-core arrays, due to computational complexity, high delay and area overhead. To this end, we revisit parity as an effective mechanism to detect errors and we resort to pipeline flushing and checkpointing for correction. We demonstrate that optimal parity tree construction for MBU detection is a computationally complex problem, which we then formulate as an integer-linear-program (ILP). Experimental results on Alpha 21264 and Intel P6 in-core memory arrays demonstrate that optimal parity tree selection can achieve great vulnerability reduction, even when a small number of bits are added to the parity trees, compared to simple heuristics. Furthermore, the ILP formulation allows us to find better solutions by effectively exploring the solution space in the presence of multiple parity trees; results show that the presence of 2 parity trees offers a vulnerability reduction of more than 50% over a single parity tree.
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
avf驱动的核心存储器阵列MBU保护奇偶优化
我们提出了一种avf驱动的奇偶校验选择方法,用于保护现代微处理器核心存储器阵列免受MBUs的侵害。作为生产部构成50%以上的冷门最新技术,错误校正码或物理交叉通常用来有效地保护核外内存结构,如缓存。然而,由于计算复杂性、高延迟和面积开销,这种方法不适用于高性能核内阵列。为此,我们重新审视奇偶校验,将其作为检测错误的有效机制,并借助于流水线刷新和检查点进行纠正。我们证明了MBU检测的最优奇偶树构造是一个计算复杂的问题,然后我们将其表述为整数线性规划(ILP)。在Alpha 21264和Intel P6内核内存阵列上的实验结果表明,与简单的启发式方法相比,即使在奇偶校验树中添加少量比特,最优奇偶校验树选择也可以大大减少漏洞。此外,ILP公式允许我们通过有效地探索存在多个奇偶树的解空间来找到更好的解;结果表明,在单个奇偶校验树上,2个奇偶校验树的存在提供了超过50%的脆弱性减少。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
An enhanced double-TSV scheme for defect tolerance in 3D-IC A sub-µA power management circuit in 0.18µm CMOS for energy harvesters Variation-tolerant OpenMP tasking on tightly-coupled processor clusters Sufficient real-time analysis for an engine control unit with constant angular velocities A Critical-Section-Level timing synchronization approach for deterministic multi-core instruction-set simulations
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1