通过新型高性能使用点(POU)滤波器降低EUV电阻的缺陷

Yiren Zhang, T. Umeda, Hirokazu Sakakibara, S. A. U. Ibrahim, Atsushi Sakamoto, Amarnauth Singh, R. Shick, K. Skjonnemand, P. Foubert, Waut Drent
{"title":"通过新型高性能使用点(POU)滤波器降低EUV电阻的缺陷","authors":"Yiren Zhang, T. Umeda, Hirokazu Sakakibara, S. A. U. Ibrahim, Atsushi Sakamoto, Amarnauth Singh, R. Shick, K. Skjonnemand, P. Foubert, Waut Drent","doi":"10.1117/12.2660389","DOIUrl":null,"url":null,"abstract":"Filters for Extreme Ultra-Violet (EUV) lithography chemicals, like chemically amplified photoresist (CAR), are attractive because of their capabilities to remove aggregated species and reduce microbridges in high volume manufacturing. Unlike bulk filters used in high-flow circulation mode, point-of-use (POU) filter is used in single-pass mode, so the retention performance and cleanliness become the most critical factors. Earlier presentations have demonstrated the benefit of reducing on-wafer defectivities through filtration of EUV photoresists with the state-of-the-art HDPE membranes filters, Pall® sub-1nm HDPE (XPR3L). In this study, we present a novel HDPE filter specifically designed to provide high retention performance, which is mainly enabled by an improvement in retention characteristics of membrane and cleanliness in finished POU filters. The membrane was designed to have a finer pore size and better pore geometry to improve defect retention. To expedite the filter start-up process, optimized device cleaning process was applied to further improve initial cleanliness, which was indicated by GC-MS, LC-MS/MS and ICP-MS measurements, etc. Finally, the POU filters were evaluated at imec EUV cluster consisting of TEL CleanTrack™ LITHIUS Pro™-Z and ASML NXE:3400B, and comparative defect data was obtained from patterned wafers with 16nm L/S.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":"{\"title\":\"Defectivity reduction in EUV resists through novel high-performance Point-Of-Use (POU) filters\",\"authors\":\"Yiren Zhang, T. Umeda, Hirokazu Sakakibara, S. A. U. Ibrahim, Atsushi Sakamoto, Amarnauth Singh, R. Shick, K. Skjonnemand, P. Foubert, Waut Drent\",\"doi\":\"10.1117/12.2660389\",\"DOIUrl\":null,\"url\":null,\"abstract\":\"Filters for Extreme Ultra-Violet (EUV) lithography chemicals, like chemically amplified photoresist (CAR), are attractive because of their capabilities to remove aggregated species and reduce microbridges in high volume manufacturing. Unlike bulk filters used in high-flow circulation mode, point-of-use (POU) filter is used in single-pass mode, so the retention performance and cleanliness become the most critical factors. Earlier presentations have demonstrated the benefit of reducing on-wafer defectivities through filtration of EUV photoresists with the state-of-the-art HDPE membranes filters, Pall® sub-1nm HDPE (XPR3L). In this study, we present a novel HDPE filter specifically designed to provide high retention performance, which is mainly enabled by an improvement in retention characteristics of membrane and cleanliness in finished POU filters. The membrane was designed to have a finer pore size and better pore geometry to improve defect retention. To expedite the filter start-up process, optimized device cleaning process was applied to further improve initial cleanliness, which was indicated by GC-MS, LC-MS/MS and ICP-MS measurements, etc. Finally, the POU filters were evaluated at imec EUV cluster consisting of TEL CleanTrack™ LITHIUS Pro™-Z and ASML NXE:3400B, and comparative defect data was obtained from patterned wafers with 16nm L/S.\",\"PeriodicalId\":212235,\"journal\":{\"name\":\"Advanced Lithography\",\"volume\":null,\"pages\":null},\"PeriodicalIF\":0.0000,\"publicationDate\":\"2023-05-01\",\"publicationTypes\":\"Journal Article\",\"fieldsOfStudy\":null,\"isOpenAccess\":false,\"openAccessPdf\":\"\",\"citationCount\":\"0\",\"resultStr\":null,\"platform\":\"Semanticscholar\",\"paperid\":null,\"PeriodicalName\":\"Advanced Lithography\",\"FirstCategoryId\":\"1085\",\"ListUrlMain\":\"https://doi.org/10.1117/12.2660389\",\"RegionNum\":0,\"RegionCategory\":null,\"ArticlePicture\":[],\"TitleCN\":null,\"AbstractTextCN\":null,\"PMCID\":null,\"EPubDate\":\"\",\"PubModel\":\"\",\"JCR\":\"\",\"JCRName\":\"\",\"Score\":null,\"Total\":0}","platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2660389","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

摘要

用于极紫外(EUV)光刻化学品的滤光剂,如化学放大光刻胶(CAR),因其在大批量生产中去除聚集物质和减少微桥的能力而具有吸引力。与大流量循环模式中使用的散装过滤器不同,使用点(POU)过滤器采用单通道模式,因此保留性能和清洁度成为最关键的因素。之前的报告已经展示了通过使用最先进的HDPE膜过滤器Pall®sub-1nm HDPE (XPR3L)过滤EUV光阻来减少晶圆上缺陷的好处。在这项研究中,我们提出了一种新型的HDPE过滤器,专门设计用于提供高保留性能,这主要是通过改善膜的保留特性和成品POU过滤器的清洁度来实现的。该膜被设计成具有更细的孔径和更好的孔几何形状,以改善缺陷保留。为了加快过滤器启动过程,采用优化的设备清洗流程进一步提高初始清洁度,通过GC-MS、LC-MS/MS和ICP-MS等测量结果表明。最后,在TEL CleanTrack™LITHIUS Pro™-Z和ASML NXE:3400B组成的imec EUV簇上对POU滤波器进行了评估,并在16nm L/S的图像化晶圆上获得了比较缺陷数据。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
Defectivity reduction in EUV resists through novel high-performance Point-Of-Use (POU) filters
Filters for Extreme Ultra-Violet (EUV) lithography chemicals, like chemically amplified photoresist (CAR), are attractive because of their capabilities to remove aggregated species and reduce microbridges in high volume manufacturing. Unlike bulk filters used in high-flow circulation mode, point-of-use (POU) filter is used in single-pass mode, so the retention performance and cleanliness become the most critical factors. Earlier presentations have demonstrated the benefit of reducing on-wafer defectivities through filtration of EUV photoresists with the state-of-the-art HDPE membranes filters, Pall® sub-1nm HDPE (XPR3L). In this study, we present a novel HDPE filter specifically designed to provide high retention performance, which is mainly enabled by an improvement in retention characteristics of membrane and cleanliness in finished POU filters. The membrane was designed to have a finer pore size and better pore geometry to improve defect retention. To expedite the filter start-up process, optimized device cleaning process was applied to further improve initial cleanliness, which was indicated by GC-MS, LC-MS/MS and ICP-MS measurements, etc. Finally, the POU filters were evaluated at imec EUV cluster consisting of TEL CleanTrack™ LITHIUS Pro™-Z and ASML NXE:3400B, and comparative defect data was obtained from patterned wafers with 16nm L/S.
求助全文
通过发布文献求助,成功后即可免费获取论文全文。 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Considerations in the design of photoacid generators Predicting the critical features of the chemically-amplified resist profile based on machine learning Application of double exposure technique in plasmonic lithography The damage control of sub layer while ion-driven etching with vertical carbon profile implemented Ultra-high carbon fullerene-based spin-on-carbon hardmasks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1