纳米压印技术的进展与应用

N. Maruyama, Kazuhiro Sato, Y. Suzaki, Satoru Jimbo, Isamu Yamashita, Kenji Yamamoto, Kiyohito Yamamoto, Mitsuru Hiura, Yukio Takabayashi
{"title":"纳米压印技术的进展与应用","authors":"N. Maruyama, Kazuhiro Sato, Y. Suzaki, Satoru Jimbo, Isamu Yamashita, Kenji Yamamoto, Kiyohito Yamamoto, Mitsuru Hiura, Yukio Takabayashi","doi":"10.1117/12.2658127","DOIUrl":null,"url":null,"abstract":"Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay, edge placement error and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For edge placement error (EPE), we discuss progress made towards addressing EPE budgets for memory devices. For defectivity, we review random defect generation, particle adders and mask inspection methods. NIL usability cases are also examined. In addition, we also discuss Canon’s recent involvement in the New Energy and Industrial Technology Development Organization (NEDO) project and its goals related to logic devices. As a final topic, we describe Canon’s interests in fabrication beyond traditional advanced semiconductor devices.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":"{\"title\":\"Advances and applications in nanoimprint lithography\",\"authors\":\"N. Maruyama, Kazuhiro Sato, Y. Suzaki, Satoru Jimbo, Isamu Yamashita, Kenji Yamamoto, Kiyohito Yamamoto, Mitsuru Hiura, Yukio Takabayashi\",\"doi\":\"10.1117/12.2658127\",\"DOIUrl\":null,\"url\":null,\"abstract\":\"Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay, edge placement error and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For edge placement error (EPE), we discuss progress made towards addressing EPE budgets for memory devices. For defectivity, we review random defect generation, particle adders and mask inspection methods. NIL usability cases are also examined. In addition, we also discuss Canon’s recent involvement in the New Energy and Industrial Technology Development Organization (NEDO) project and its goals related to logic devices. As a final topic, we describe Canon’s interests in fabrication beyond traditional advanced semiconductor devices.\",\"PeriodicalId\":212235,\"journal\":{\"name\":\"Advanced Lithography\",\"volume\":null,\"pages\":null},\"PeriodicalIF\":0.0000,\"publicationDate\":\"2023-05-01\",\"publicationTypes\":\"Journal Article\",\"fieldsOfStudy\":null,\"isOpenAccess\":false,\"openAccessPdf\":\"\",\"citationCount\":\"0\",\"resultStr\":null,\"platform\":\"Semanticscholar\",\"paperid\":null,\"PeriodicalName\":\"Advanced Lithography\",\"FirstCategoryId\":\"1085\",\"ListUrlMain\":\"https://doi.org/10.1117/12.2658127\",\"RegionNum\":0,\"RegionCategory\":null,\"ArticlePicture\":[],\"TitleCN\":null,\"AbstractTextCN\":null,\"PMCID\":null,\"EPubDate\":\"\",\"PubModel\":\"\",\"JCR\":\"\",\"JCRName\":\"\",\"Score\":null,\"Total\":0}","platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2658127","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

摘要

压印光刻是一种有效且众所周知的纳米级特征复制技术。纳米压印(NIL)制造设备采用了一种图案化技术,该技术包括逐场沉积和通过喷射技术将低粘度抗蚀剂沉积到基板上。有图案的口罩被放入液体中,然后通过毛细管作用迅速流入口罩中的浮雕图案。在这个填充步骤之后,抗蚀剂在紫外线辐射下交联,然后去除掩模,在基材上留下图案抗蚀剂。与光刻设备相比,该技术以更高的分辨率和更大的均匀性忠实地再现图案。此外,由于该技术不需要宽直径透镜阵列和先进光刻设备所需的昂贵光源,因此NIL设备实现了更简单,更紧凑的设计,允许多个单元聚集在一起以提高生产率。先前的研究表明,NIL分辨率优于10nm,这使得该技术适用于用单个掩模打印几代关键记忆级。此外,仅在必要时应用抗蚀剂,从而消除了材料浪费。考虑到压印系统中没有复杂的光学器件,当与简单的单级处理和零浪费相结合时,工具成本的降低导致了对半导体存储器应用非常有吸引力的成本模型。内存制造具有挑战性,尤其是DRAM,因为DRAM的路线图要求持续扩展,最终达到14nm及以上的半间距。对于DRAM,一些关键层的覆盖比NAND闪存紧密得多,误差预算为最小半间距的15-20%。对于14nm,这意味着2.1-2.8nm。DRAM器件设计也具有挑战性,并且布局并不总是有利于SADP和SAQP等间距划分方法。这使得直接印刷工艺,如NIL有吸引力的解决方案。从缺陷的角度来看,逻辑更具挑战性,通常需要比包含冗余的存储设备低得多的缺陷级别。在本文中,我们触及了可以用零净值来解决的市场,并描述了进一步提高零净值性能的努力。我们特别关注与覆盖、边缘放置误差和缺陷相关的性能改进。对于覆盖层,我们给出了稳定性的结果,并讨论了进一步解决高阶失真的新方法。对于边缘放置错误(EPE),我们讨论了在解决内存设备的EPE预算方面取得的进展。对于缺陷,我们回顾了随机缺陷生成、粒子加法器和掩模检测方法。NIL可用性案例也进行了检查。此外,我们还讨论了佳能最近参与的新能源和工业技术发展组织(NEDO)项目及其与逻辑器件相关的目标。作为最后一个主题,我们描述了佳能在传统先进半导体器件以外的制造领域的兴趣。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
Advances and applications in nanoimprint lithography
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay, edge placement error and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For edge placement error (EPE), we discuss progress made towards addressing EPE budgets for memory devices. For defectivity, we review random defect generation, particle adders and mask inspection methods. NIL usability cases are also examined. In addition, we also discuss Canon’s recent involvement in the New Energy and Industrial Technology Development Organization (NEDO) project and its goals related to logic devices. As a final topic, we describe Canon’s interests in fabrication beyond traditional advanced semiconductor devices.
求助全文
通过发布文献求助,成功后即可免费获取论文全文。 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Considerations in the design of photoacid generators Predicting the critical features of the chemically-amplified resist profile based on machine learning Application of double exposure technique in plasmonic lithography The damage control of sub layer while ion-driven etching with vertical carbon profile implemented Ultra-high carbon fullerene-based spin-on-carbon hardmasks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1