部分蚀刻SiNx的选择性官能化以提高SiO2对SiNx的蚀刻选择性

Ryan J. Gasvoda, Xue Wang, Prabhat Kumar, E. Hudson, S. Agarwal
{"title":"部分蚀刻SiNx的选择性官能化以提高SiO2对SiNx的蚀刻选择性","authors":"Ryan J. Gasvoda, Xue Wang, Prabhat Kumar, E. Hudson, S. Agarwal","doi":"10.1116/6.0001110","DOIUrl":null,"url":null,"abstract":"Selective functionalization of SiO2 and SiNx surfaces is challenging after atmospheric exposure or after exposure to an etching plasma chemistry as both surfaces tend to have similar functional groups. Here, we show that a SiNx surface can be selectively functionalized over SiO2 with benzaldehyde after the first atomic layer etching (ALE) cycle. Similar to our previous work on pristine plasma-deposited SiO2 and SiNx surfaces [R. J. Gasvoda, Z. Zhang, E. A. Hudson, and S. Agarwal, J. Vac. Sci Technol. A 39, 040401 (2021)], this selective functionalization can be used to increase the overall SiO2 to SiNx etch selectivity during ALE. The surface reactions, composition, and film thickness during ALE were monitored using in situ surface infrared spectroscopy and in situ four-wavelength ellipsometry. Our ALE process consisted of alternating cycles of CFx deposition from a C4F6/Ar plasma and an Ar activation plasma with an average ion energy of ∼210 eV. The first ALE cycle removed the surface SiOxNy layer on the SiNx surface and created reactive sites for selective benzaldehyde attachment.","PeriodicalId":17571,"journal":{"name":"Journal of Vacuum Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2021-07-26","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":"{\"title\":\"Selective functionalization of partially etched SiNx to enhance SiO2 to SiNx etch selectivity\",\"authors\":\"Ryan J. Gasvoda, Xue Wang, Prabhat Kumar, E. Hudson, S. Agarwal\",\"doi\":\"10.1116/6.0001110\",\"DOIUrl\":null,\"url\":null,\"abstract\":\"Selective functionalization of SiO2 and SiNx surfaces is challenging after atmospheric exposure or after exposure to an etching plasma chemistry as both surfaces tend to have similar functional groups. Here, we show that a SiNx surface can be selectively functionalized over SiO2 with benzaldehyde after the first atomic layer etching (ALE) cycle. Similar to our previous work on pristine plasma-deposited SiO2 and SiNx surfaces [R. J. Gasvoda, Z. Zhang, E. A. Hudson, and S. Agarwal, J. Vac. Sci Technol. A 39, 040401 (2021)], this selective functionalization can be used to increase the overall SiO2 to SiNx etch selectivity during ALE. The surface reactions, composition, and film thickness during ALE were monitored using in situ surface infrared spectroscopy and in situ four-wavelength ellipsometry. Our ALE process consisted of alternating cycles of CFx deposition from a C4F6/Ar plasma and an Ar activation plasma with an average ion energy of ∼210 eV. The first ALE cycle removed the surface SiOxNy layer on the SiNx surface and created reactive sites for selective benzaldehyde attachment.\",\"PeriodicalId\":17571,\"journal\":{\"name\":\"Journal of Vacuum Science and Technology\",\"volume\":null,\"pages\":null},\"PeriodicalIF\":0.0000,\"publicationDate\":\"2021-07-26\",\"publicationTypes\":\"Journal Article\",\"fieldsOfStudy\":null,\"isOpenAccess\":false,\"openAccessPdf\":\"\",\"citationCount\":\"0\",\"resultStr\":null,\"platform\":\"Semanticscholar\",\"paperid\":null,\"PeriodicalName\":\"Journal of Vacuum Science and Technology\",\"FirstCategoryId\":\"1085\",\"ListUrlMain\":\"https://doi.org/10.1116/6.0001110\",\"RegionNum\":0,\"RegionCategory\":null,\"ArticlePicture\":[],\"TitleCN\":null,\"AbstractTextCN\":null,\"PMCID\":null,\"EPubDate\":\"\",\"PubModel\":\"\",\"JCR\":\"\",\"JCRName\":\"\",\"Score\":null,\"Total\":0}","platform":"Semanticscholar","paperid":null,"PeriodicalName":"Journal of Vacuum Science and Technology","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1116/6.0001110","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

摘要

在大气暴露或蚀刻等离子体化学后,SiO2和SiNx表面的选择性功能化具有挑战性,因为这两个表面往往具有相似的官能团。在这里,我们证明了在第一次原子层蚀刻(ALE)循环后,苯甲醛可以选择性地在SiO2上功能化SiNx表面。与我们之前对原始等离子体沉积SiO2和SiNx表面的研究相似[R]。张志强,张志强,张志强。Sci抛光工艺。A 39, 040401(2021)],这种选择性功能化可用于提高ALE过程中SiO2到SiNx的整体蚀刻选择性。利用原位表面红外光谱和原位四波长椭偏仪监测了ALE过程中的表面反应、组成和膜厚度。我们的ALE过程由C4F6/Ar等离子体和Ar激活等离子体的CFx沉积交替循环组成,平均离子能量为~ 210 eV。第一次ALE循环去除SiNx表面的SiOxNy层,并产生选择性苯甲醛附着的反应位点。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
查看原文
分享 分享
微信好友 朋友圈 QQ好友 复制链接
本刊更多论文
Selective functionalization of partially etched SiNx to enhance SiO2 to SiNx etch selectivity
Selective functionalization of SiO2 and SiNx surfaces is challenging after atmospheric exposure or after exposure to an etching plasma chemistry as both surfaces tend to have similar functional groups. Here, we show that a SiNx surface can be selectively functionalized over SiO2 with benzaldehyde after the first atomic layer etching (ALE) cycle. Similar to our previous work on pristine plasma-deposited SiO2 and SiNx surfaces [R. J. Gasvoda, Z. Zhang, E. A. Hudson, and S. Agarwal, J. Vac. Sci Technol. A 39, 040401 (2021)], this selective functionalization can be used to increase the overall SiO2 to SiNx etch selectivity during ALE. The surface reactions, composition, and film thickness during ALE were monitored using in situ surface infrared spectroscopy and in situ four-wavelength ellipsometry. Our ALE process consisted of alternating cycles of CFx deposition from a C4F6/Ar plasma and an Ar activation plasma with an average ion energy of ∼210 eV. The first ALE cycle removed the surface SiOxNy layer on the SiNx surface and created reactive sites for selective benzaldehyde attachment.
求助全文
通过发布文献求助,成功后即可免费获取论文全文。 去求助
来源期刊
自引率
0.00%
发文量
0
期刊最新文献
Interfacial reactivity in the Co/CuO samples as investigated by x-ray photoelectron spectroscopy Modification of discharge sequences to control the random dispersion of flake particles during wafer etching Effect of atomic-scale microstructures on TiZrV non-evaporable getter film activation E-mode AlGaN/GaN HEMTs using p-NiO gates Review on remote phonon scattering in transistors with metal-oxide-semiconductor structures adopting high-k gate dielectrics
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
已复制链接
已复制链接
快去分享给好友吧!
我知道了
×
扫码分享
扫码分享
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1