首页 > 最新文献

Proceedings. 41st Design Automation Conference, 2004.最新文献

英文 中文
Novel sizing algorithm for yield improvement under process variation in nanometer technology 纳米工艺变化下提高成品率的新型施胶算法
Pub Date : 2004-06-07 DOI: 10.1145/996566.996695
Seung Hoon Choi, B. Paul, K. Roy
Due to process parameter variations, a large variabilily in circuit delay occurs in scaled technologies affecting the yield. In this paper, we propose a sizing algorithm to ensure the speed of a circuit under process variation with a certain degree of confidence while maintaining the area and power budget within a limit. This algorithm estimates the variation in circuit delay using statistical timing analysis considering both inter-and intra-die process variation and resizes the circuit to achieve a desired yield. Experimental results on several benchmark circuits show that one can achieve up to 19% savings in area (power) using our algorithm compared to the worst-case design.
由于工艺参数的变化,电路延迟在影响成品率的规模化技术中发生了很大的变化。在本文中,我们提出了一种分级算法,以确保电路在工艺变化下的速度具有一定的置信度,同时将面积和功率预算保持在限制范围内。该算法使用统计时序分析来估计电路延迟的变化,同时考虑到模具内部和模具内部的工艺变化,并调整电路的大小以达到期望的良率。在几个基准电路上的实验结果表明,与最坏情况设计相比,使用我们的算法可以节省高达19%的面积(功率)。
{"title":"Novel sizing algorithm for yield improvement under process variation in nanometer technology","authors":"Seung Hoon Choi, B. Paul, K. Roy","doi":"10.1145/996566.996695","DOIUrl":"https://doi.org/10.1145/996566.996695","url":null,"abstract":"Due to process parameter variations, a large variabilily in circuit delay occurs in scaled technologies affecting the yield. In this paper, we propose a sizing algorithm to ensure the speed of a circuit under process variation with a certain degree of confidence while maintaining the area and power budget within a limit. This algorithm estimates the variation in circuit delay using statistical timing analysis considering both inter-and intra-die process variation and resizes the circuit to achieve a desired yield. Experimental results on several benchmark circuits show that one can achieve up to 19% savings in area (power) using our algorithm compared to the worst-case design.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125151320","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 158
Optical proximity correction (OPC)-friendly maze routing 光学接近校正(OPC)友好型迷宫路由
Pub Date : 2004-06-07 DOI: 10.1145/996566.996622
Li-Da Huang, Martin D. F. Wong
As the technology migrates into the deep submicron manufacturing(DSM) era, the critical dimension of the circuits is getting smaller than the lithographic wavelength. The unavoidable light diffraction phenomena in the sub-wavelength technologies have become one of the major factors in the yield rate. Optical proximity correction (OPC) is one of the methods adopted to compensate for the light diffraction effect as a post layout process.However, the process is time-consuming and the results are still limited by the original layout quality. In this paper, we propose a maze routing method that considers the optical effect in the routing algorithm. By utilizing the symmetrical property of the optical system, the light diffraction is efficiently calculated and stored in tables. The costs that guide the router to minimize the optical interferences are obtained from these look-up tables. The problem is first formulated as a constrained maze routing problem, then it is shown to be a multiple constrained shortest path problem. Based on the Lagrangian relaxation method, an effective algorithm is designed to solve the problem.
随着该技术进入深亚微米制造(DSM)时代,电路的关键尺寸越来越小于光刻波长。亚波长技术中不可避免的光衍射现象已成为影响收率的主要因素之一。光学接近校正(OPC)是补偿光衍射效应的一种方法。然而,这个过程很耗时,而且结果仍然受到原始布局质量的限制。本文提出了一种在路由算法中考虑光效应的迷宫路由方法。利用光学系统的对称特性,可以有效地计算光的衍射并存储在表中。从这些查找表中可以得到引导路由器将光干扰最小化的成本。首先将该问题表述为一个有约束的迷宫路径问题,然后将其转化为一个多约束的最短路径问题。基于拉格朗日松弛法,设计了一种有效的求解算法。
{"title":"Optical proximity correction (OPC)-friendly maze routing","authors":"Li-Da Huang, Martin D. F. Wong","doi":"10.1145/996566.996622","DOIUrl":"https://doi.org/10.1145/996566.996622","url":null,"abstract":"As the technology migrates into the deep submicron manufacturing(DSM) era, the critical dimension of the circuits is getting smaller than the lithographic wavelength. The unavoidable light diffraction phenomena in the sub-wavelength technologies have become one of the major factors in the yield rate. Optical proximity correction (OPC) is one of the methods adopted to compensate for the light diffraction effect as a post layout process.However, the process is time-consuming and the results are still limited by the original layout quality. In this paper, we propose a maze routing method that considers the optical effect in the routing algorithm. By utilizing the symmetrical property of the optical system, the light diffraction is efficiently calculated and stored in tables. The costs that guide the router to minimize the optical interferences are obtained from these look-up tables. The problem is first formulated as a constrained maze routing problem, then it is shown to be a multiple constrained shortest path problem. Based on the Lagrangian relaxation method, an effective algorithm is designed to solve the problem.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124305177","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 91
Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects 基于轨迹分段线性模型的管道互连平面规划优化
Pub Date : 2004-06-07 DOI: 10.1145/996566.996742
C. Long, Lucanus J. Simonson, W. Liao, Lei He
Interconnect pipelining has a great impact on system performance, but has not been considered by automatic floorplanning. Consid-ering interconnect pipelining, we study the floorplanning optimiza-tion problem to minimize system CPI (cycles per instruction) and in turn maximize system performance. We develop an efficient table-based model called trajectory piece-wise linear (TPWL) model to estimate CPI with interconnect pipelining. Experiments show that the TPWL model differs from cycle-accurate simulations by less than 3.0%. We integrate this model with a simulated-annealing based floorplan optimization to obtain CPI-aware floorplanning. Compared to the conventional floorplanning to minimize area and wire length, our CPI-aware floorplanning can reduce CPI by up to 28.6% with a small area overhead of 5.69% under 100nm technol-ogy and obtain better results under 70nm technology. To the best of our knowledge, this paper is the first in-depth study on floorplan-ning optimization with consideration of interconnect pipelining.
互连流水线对系统性能有很大的影响,但在自动布局中却没有考虑到这一点。考虑到互连流水线,我们研究了地板规划优化问题,以最小化系统CPI(每指令周期),从而最大化系统性能。我们开发了一种高效的基于表的模型,称为轨迹分段线性(TPWL)模型,用于通过互连流水线估计CPI。实验表明,TPWL模型与周期精度模拟的误差小于3.0%。我们将该模型与基于模拟退火的平面规划优化相结合,以获得cpi感知的平面规划。与传统的最小化面积和导线长度的平面设计相比,我们的CPI感知平面设计在100nm技术下可以减少高达28.6%的CPI,而面积开销仅为5.69%,在70nm技术下获得更好的效果。据我们所知,本文首次深入研究了考虑互联流水线的平面规划优化问题。
{"title":"Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects","authors":"C. Long, Lucanus J. Simonson, W. Liao, Lei He","doi":"10.1145/996566.996742","DOIUrl":"https://doi.org/10.1145/996566.996742","url":null,"abstract":"Interconnect pipelining has a great impact on system performance, but has not been considered by automatic floorplanning. Consid-ering interconnect pipelining, we study the floorplanning optimiza-tion problem to minimize system CPI (cycles per instruction) and in turn maximize system performance. We develop an efficient table-based model called trajectory piece-wise linear (TPWL) model to estimate CPI with interconnect pipelining. Experiments show that the TPWL model differs from cycle-accurate simulations by less than 3.0%. We integrate this model with a simulated-annealing based floorplan optimization to obtain CPI-aware floorplanning. Compared to the conventional floorplanning to minimize area and wire length, our CPI-aware floorplanning can reduce CPI by up to 28.6% with a small area overhead of 5.69% under 100nm technol-ogy and obtain better results under 70nm technology. To the best of our knowledge, this paper is the first in-depth study on floorplan-ning optimization with consideration of interconnect pipelining.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"45 12","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131436176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 44
An essentially non-oscillatory (ENO) high-order accurate adaptive table model for device modeling 一种基本非振荡(ENO)高阶精确自适应表模型用于器件建模
Pub Date : 2004-06-07 DOI: 10.1145/996566.996796
Baolin Yang, B. McGaughy
Modern analytical device models become more and more complicated and expensive to evaluate in circuit simulation. Interpolation based table look-up device models become increasingly important for fast circuit simulation. Traditional table model trades accuracy for speed and is only used in fast-Spice simulators but not good enough for prime-time Spice simulators such as SPECTRE. We propose a novel table model technology that uses high-order essentially non-oscillatory (ENO) polynomial interpolation in multi-dimensions to guarantee smoothness in multi-dimensions and high accuracy in approximating i -- v/q --v curves. An efficient transfinite blending technique for the reconstruction of multi-dimensional tables is used. Interpolation stencil is adaptively determined by automatic accuracy control. The method has been proved to be superior to traditional ones and successfully applied in Spectre and Ultrasim for simulating digital, analog, RF, and mixed-signal circuits.
现代分析器件模型在电路仿真中变得越来越复杂和昂贵。基于插值的查表器件模型在快速电路仿真中变得越来越重要。传统的表模型以准确性换取速度,仅用于快速香料模拟器,但对于黄金时间香料模拟器(如SPECTRE)不够好。我们提出了一种新的表模型技术,该技术在多维上使用高阶本质非振荡(ENO)多项式插值,以保证多维的平滑性和近似i—v/q—v曲线的高精度。采用一种高效的超限混合技术对多维表进行重建。通过自动精度控制,自适应确定插补模板。该方法已被证明优于传统方法,并成功应用于Spectre和Ultrasim中,用于模拟数字、模拟、射频和混合信号电路。
{"title":"An essentially non-oscillatory (ENO) high-order accurate adaptive table model for device modeling","authors":"Baolin Yang, B. McGaughy","doi":"10.1145/996566.996796","DOIUrl":"https://doi.org/10.1145/996566.996796","url":null,"abstract":"Modern analytical device models become more and more complicated and expensive to evaluate in circuit simulation. Interpolation based table look-up device models become increasingly important for fast circuit simulation. Traditional table model trades accuracy for speed and is only used in fast-Spice simulators but not good enough for prime-time Spice simulators such as SPECTRE. We propose a novel table model technology that uses high-order essentially non-oscillatory (ENO) polynomial interpolation in multi-dimensions to guarantee smoothness in multi-dimensions and high accuracy in approximating i -- v/q --v curves. An efficient transfinite blending technique for the reconstruction of multi-dimensional tables is used. Interpolation stencil is adaptively determined by automatic accuracy control. The method has been proved to be superior to traditional ones and successfully applied in Spectre and Ultrasim for simulating digital, analog, RF, and mixed-signal circuits.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130422630","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Profile-based optimal intra-task voltage scheduling for hard real-time applications 硬实时应用中基于概要的最优任务内电压调度
Pub Date : 2004-06-07 DOI: 10.1145/996566.996597
Jae-Bong Seo, Taewhan Kim, Ki-Seok Chung
This paper presents a set of comprehensive techniques for the intratask vokage scheduling problem to reduce energy consumption in hard real-time tasks of embedded systems. Based on the execution profile of the task, a voltage scheduling technique that optimally determinqs the operating voltages to individual basic blocks in the task is proposed. The obtained voltage schedule guarantees minimum average epergy consumption. The proposed technique is then extended to solve practical issues regarding transition overheads, which are totally or panially ignored in the existing approaches. Finally, a technique involving a novel extension of our optimal scheduler is proposed to solve the scheduling problem in a discretely variable voltage environment. In summary, it is confirmed from experiments that the proposed optimal scheduling technique reduces energy consumption by 202% over that of one of the state-of-the-art schedulers [I 11 and, funher,'the extended technique in a discrete voltage environment reduces energy consumption by 45.3% on average.
为了降低嵌入式系统的硬实时任务的能耗,本文提出了一套综合的任务内调度技术。根据任务的执行情况,提出了一种电压调度技术,以最优地确定任务中各个基本块的工作电压。得到的电压表保证了最小的平均能耗。然后将所建议的技术扩展到解决有关转换开销的实际问题,这些问题在现有方法中被完全或部分忽略。最后,针对离散变电压环境下的调度问题,提出了一种新的优化调度方法。总之,从实验中证实,所提出的最优调度技术比最先进的调度器之一减少了202%的能耗[I 11],更重要的是,在离散电压环境中的扩展技术平均减少了45.3%的能耗。
{"title":"Profile-based optimal intra-task voltage scheduling for hard real-time applications","authors":"Jae-Bong Seo, Taewhan Kim, Ki-Seok Chung","doi":"10.1145/996566.996597","DOIUrl":"https://doi.org/10.1145/996566.996597","url":null,"abstract":"This paper presents a set of comprehensive techniques for the intratask vokage scheduling problem to reduce energy consumption in hard real-time tasks of embedded systems. Based on the execution profile of the task, a voltage scheduling technique that optimally determinqs the operating voltages to individual basic blocks in the task is proposed. The obtained voltage schedule guarantees minimum average epergy consumption. The proposed technique is then extended to solve practical issues regarding transition overheads, which are totally or panially ignored in the existing approaches. Finally, a technique involving a novel extension of our optimal scheduler is proposed to solve the scheduling problem in a discretely variable voltage environment. In summary, it is confirmed from experiments that the proposed optimal scheduling technique reduces energy consumption by 202% over that of one of the state-of-the-art schedulers [I 11 and, funher,'the extended technique in a discrete voltage environment reduces energy consumption by 45.3% on average.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"103 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134253701","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 50
Fast and flexible buffer trees that navigate the physical layout environment 导航物理布局环境的快速灵活的缓冲树
Pub Date : 2004-06-07 DOI: 10.1145/996566.996575
C. Alpert, Milos Hrkic, Jiang Hu, Stephen T. Quay
Buffer insertion is an increasingly critical optimization for achieving timing closure, and the number of buffers required increases significantly with technology migration. It is imperative for an automated buffer insertion algorithm to be able to efficiently optimize tens of thousands of nets. One must also be able to effectively navigate the existing layout, including handling large blockages, blockages with holes specifically for buffers, specially allocated buffer blocks, placement porosity, and routing congestion. The algorithm must also be flexible enough to know when to use and when not to use expensive layout resources. Although several previous works have addressed buffer insertion in the presence of blockages, this is the first to present a complete solution that can manage the physical layout environment.
缓冲区插入是实现定时关闭的一项日益重要的优化,所需的缓冲区数量随着技术迁移而显著增加。自动缓冲区插入算法必须能够有效地优化数以万计的网络。用户还必须能够有效地导航现有布局,包括处理大型阻塞、带有专门用于缓冲区的孔的阻塞、专门分配的缓冲块、放置孔隙度和路由拥塞。该算法还必须足够灵活,知道何时使用和何时不使用昂贵的布局资源。虽然以前的一些工作已经解决了在阻塞存在时的缓冲区插入问题,但这是第一次提出一个可以管理物理布局环境的完整解决方案。
{"title":"Fast and flexible buffer trees that navigate the physical layout environment","authors":"C. Alpert, Milos Hrkic, Jiang Hu, Stephen T. Quay","doi":"10.1145/996566.996575","DOIUrl":"https://doi.org/10.1145/996566.996575","url":null,"abstract":"Buffer insertion is an increasingly critical optimization for achieving timing closure, and the number of buffers required increases significantly with technology migration. It is imperative for an automated buffer insertion algorithm to be able to efficiently optimize tens of thousands of nets. One must also be able to effectively navigate the existing layout, including handling large blockages, blockages with holes specifically for buffers, specially allocated buffer blocks, placement porosity, and routing congestion. The algorithm must also be flexible enough to know when to use and when not to use expensive layout resources. Although several previous works have addressed buffer insertion in the presence of blockages, this is the first to present a complete solution that can manage the physical layout environment.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129463930","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
SUNMAP: a tool for automatic topology selection and generation for NoCs SUNMAP:用于noc自动拓扑选择和生成的工具
Pub Date : 2004-06-07 DOI: 10.1145/996566.996809
S. Murali, G. Micheli
Increasing communication demands of processor and memory cores in Systems on Chips (SoCs) necessitate the use of Networks on Chip (NoC) to interconnect the cores. An important phase in the design of NoCs is he mapping of cores onto the most suitable opology for a given application. In this paper, we present SUNMAP a tool for automatically selecting he best topology for a given application and producing a mapping of cores onto that topology. SUNMAP explores various design objectives such as minimizing average communication delay, area, power dissipation subject to bandwidth and area constraints. The tool supports different routing functions (dimension ordered, minimum-path, traffic splitting) and uses floorplanning information early in the topology selection process to provide feasible mappings. The network components of the chosen NoC are automatically generated using cycle-accurate SystemC soft macros from X-pipes architecture. SUNMAP automates NoC selection and generation, bridging an important design gap in building NoCs. Several experimental case studies are presented in the paper, which show the rich design space exploration capabilities of SUNMAP.
在片上系统(soc)中,处理器和存储核心的通信需求不断增加,因此需要使用片上网络(NoC)来互连核心。noc设计中的一个重要阶段是将核心映射到给定应用程序的最合适的拓扑上。在本文中,我们介绍了SUNMAP工具,用于自动为给定应用程序选择最佳拓扑并生成到该拓扑上的核心映射。SUNMAP探索各种设计目标,如在带宽和面积限制下最小化平均通信延迟、面积、功耗。该工具支持不同的路由功能(维度有序、最小路径、流量分割),并在拓扑选择过程中早期使用平面图信息来提供可行的映射。所选NoC的网络组件使用来自X-pipes架构的周期精确的SystemC软宏自动生成。SUNMAP自动化了NoC的选择和生成,弥补了NoC建设中一个重要的设计缺口。文中给出了几个实验案例,展示了SUNMAP丰富的设计空间探索能力。
{"title":"SUNMAP: a tool for automatic topology selection and generation for NoCs","authors":"S. Murali, G. Micheli","doi":"10.1145/996566.996809","DOIUrl":"https://doi.org/10.1145/996566.996809","url":null,"abstract":"Increasing communication demands of processor and memory cores in Systems on Chips (SoCs) necessitate the use of Networks on Chip (NoC) to interconnect the cores. An important phase in the design of NoCs is he mapping of cores onto the most suitable opology for a given application. In this paper, we present SUNMAP a tool for automatically selecting he best topology for a given application and producing a mapping of cores onto that topology. SUNMAP explores various design objectives such as minimizing average communication delay, area, power dissipation subject to bandwidth and area constraints. The tool supports different routing functions (dimension ordered, minimum-path, traffic splitting) and uses floorplanning information early in the topology selection process to provide feasible mappings. The network components of the chosen NoC are automatically generated using cycle-accurate SystemC soft macros from X-pipes architecture. SUNMAP automates NoC selection and generation, bridging an important design gap in building NoCs. Several experimental case studies are presented in the paper, which show the rich design space exploration capabilities of SUNMAP.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"47 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132983613","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 348
Decomposing specifications with concurrent outputs to resolve state coding conflicts in asynchronous logic synthesis 对具有并发输出的规范进行分解,以解决异步逻辑合成中的状态编码冲突
Pub Date : 2004-06-07 DOI: 10.1145/996566.996788
H. Kapoor, M. B. Josephs
Synthesis of asynchronous logic using the tool Petrify requires a state graph with a complete state coding. It is common for specifications to exhibit concurrent outputs, but Petrify is sometimes unable to resolve the state coding conflicts that arise as a result, and hence cannot synthesise a circuit. A pair of decomposition heuristics (expressed in the language of Delay-Insensitive Sequential Processes) are given that helps one to obtain a synthesisable specification. The second heuristic has been successfully applied to a set of nine benchmarks to obtain significant reductions both in area and in synthesis time, compared with synthesis performed on the original specifications.
使用石化工具合成异步逻辑需要一个带有完整状态编码的状态图。规范显示并发输出是常见的,但是Petrify有时无法解决由此产生的状态编码冲突,因此无法合成电路。给出了一对分解启发式方法(用延迟不敏感顺序过程语言表示),帮助人们获得可合成的规范。第二种启发式方法已成功地应用于一组9个基准,与在原始规范上执行的综合相比,在面积和合成时间上都得到了显著的减少。
{"title":"Decomposing specifications with concurrent outputs to resolve state coding conflicts in asynchronous logic synthesis","authors":"H. Kapoor, M. B. Josephs","doi":"10.1145/996566.996788","DOIUrl":"https://doi.org/10.1145/996566.996788","url":null,"abstract":"Synthesis of asynchronous logic using the tool Petrify requires a state graph with a complete state coding. It is common for specifications to exhibit concurrent outputs, but Petrify is sometimes unable to resolve the state coding conflicts that arise as a result, and hence cannot synthesise a circuit. A pair of decomposition heuristics (expressed in the language of Delay-Insensitive Sequential Processes) are given that helps one to obtain a synthesisable specification. The second heuristic has been successfully applied to a set of nine benchmarks to obtain significant reductions both in area and in synthesis time, compared with synthesis performed on the original specifications.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131861449","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Accurate pre-layout estimation of standard cell characteristics 准确的预布局估计标准电池特性
Pub Date : 2004-06-07 DOI: 10.1145/996566.996626
Hiroaki Yoshida, K. De, V. Boppana
With the advent of deep-submicron technologies, it has become essential to model the impact of physical/layout effects up front in all design flows citeITRS02. The effect of layout parasitics is considerable even at the intra-cell level in standard cells. Hence, it has become critically important for any transistor-level optimization to consider the effect of these layout parasitics as an integral part of the optimization process. However, since it is not computationally feasible for the actual layout to be a part of any such optimization procedures, we propose a technique that estimates cell layout characteristics without actually performing the layout and subsequent extraction steps. We demonstrate in this work that it is indeed feasible to estimate the layout effects to get timing characteristics that are on average within about 1.5% of post-layout timing and that the technique is thousands of times faster than the actual creation of layout.
随着深亚微米技术的出现,在所有设计流程中预先模拟物理/布局效果的影响已变得至关重要。即使在标准细胞的细胞内水平,布局寄生的影响也是相当大的。因此,将这些布局寄生效应作为优化过程的一个组成部分,对于任何晶体管级优化都变得至关重要。然而,由于实际布局作为任何此类优化过程的一部分在计算上是不可行的,因此我们提出了一种技术,可以在不实际执行布局和随后的提取步骤的情况下估计单元布局特征。我们在这项工作中证明,通过估计布局效果来获得平均在布局后时间的1.5%左右的定时特性确实是可行的,并且该技术比实际创建布局快数千倍。
{"title":"Accurate pre-layout estimation of standard cell characteristics","authors":"Hiroaki Yoshida, K. De, V. Boppana","doi":"10.1145/996566.996626","DOIUrl":"https://doi.org/10.1145/996566.996626","url":null,"abstract":"With the advent of deep-submicron technologies, it has become essential to model the impact of physical/layout effects up front in all design flows citeITRS02. The effect of layout parasitics is considerable even at the intra-cell level in standard cells. Hence, it has become critically important for any transistor-level optimization to consider the effect of these layout parasitics as an integral part of the optimization process. However, since it is not computationally feasible for the actual layout to be a part of any such optimization procedures, we propose a technique that estimates cell layout characteristics without actually performing the layout and subsequent extraction steps. We demonstrate in this work that it is indeed feasible to estimate the layout effects to get timing characteristics that are on average within about 1.5% of post-layout timing and that the technique is thousands of times faster than the actual creation of layout.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"67 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134541961","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Platform based design: Does it answer the entire SoC challenge? 基于平台的设计:它能解决整个SoC挑战吗?
Pub Date : 2004-06-07 DOI: 10.1145/996566.996682
Gary Smith
During the mid-1990s, design fads emerged as the design challenge was becoming significant and the EDA vendors were entered the methodology business. Trying to market methodologies helped produce today's unfortunate design fad phenomenon.
在20世纪90年代中期,设计潮流出现,因为设计挑战变得越来越重要,EDA供应商进入了方法论业务。试图将方法论推向市场,导致了今天不幸的设计时尚现象。
{"title":"Platform based design: Does it answer the entire SoC challenge?","authors":"Gary Smith","doi":"10.1145/996566.996682","DOIUrl":"https://doi.org/10.1145/996566.996682","url":null,"abstract":"During the mid-1990s, design fads emerged as the design challenge was becoming significant and the EDA vendors were entered the methodology business. Trying to market methodologies helped produce today's unfortunate design fad phenomenon.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"152 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122153621","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
期刊
Proceedings. 41st Design Automation Conference, 2004.
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1