首页 > 最新文献

Proceedings. 41st Design Automation Conference, 2004.最新文献

英文 中文
Heterogeneous MP-SoC - the solution to energy-efficient signal processing 异构MP-SoC——节能信号处理的解决方案
Pub Date : 2004-06-07 DOI: 10.1145/996566.996754
Tim Kogel, H. Meyr
To meet conflicting flexibility, performance and cost constraints of demanding signal processing applications, future designs in this domain will contain an increasing number of application specific programmahle.units combined with complex communication and memory infrastructures. Novel architecture trends like Application Specific Instruction-set Processors (ASPS) as well as customized buses and Network-on-Chip based communication promise enormous potential for optimization. However, state-of-the-art tooling and design practice is not in a shape to take advantage of this advances in computer architecture and silicon technology. Currently, EDA industry develops two diverging strategies to cope with the design complexity of such application specific, heterogeneous MP-SoC platforms. First, the IPdriven approach emphasizes the composition of MP-SoC platforms from configurahle off-the-shelf Intellectual Property blocks. On the other hand, the design-driven approach strives to take design efficiency to the required level by use of system level design methodologies and IP generation tools. In this paper, we discuss technical and economical aspects of both strategies. Based on the analysis of recent trends in computer achitecture and system level design, we envision a hand-in-hand approach of signal processing platform architectures and design metholodgy to conquer the complexitv crisis in etnemine MP-SoC developments.
为了满足要求苛刻的信号处理应用的灵活性、性能和成本限制,该领域的未来设计将包含越来越多的特定应用程序。具有复杂通信和存储基础设施的单元。新的架构趋势,如应用特定指令集处理器(asp)以及定制总线和基于片上网络的通信,为优化提供了巨大的潜力。然而,最先进的工具和设计实践并不能充分利用计算机体系结构和硅技术的进步。目前,EDA行业开发了两种不同的策略来应对这种特定应用的异构MP-SoC平台的设计复杂性。首先,ip驱动的方法强调MP-SoC平台由可配置的现成知识产权模块组成。另一方面,设计驱动的方法通过使用系统级设计方法和IP生成工具,努力将设计效率提高到所需的水平。在本文中,我们讨论了这两种策略的技术和经济方面。基于对计算机体系结构和系统级设计的最新趋势的分析,我们设想了一种信号处理平台体系结构和设计方法相结合的方法,以克服确定MP-SoC开发中的复杂危机。
{"title":"Heterogeneous MP-SoC - the solution to energy-efficient signal processing","authors":"Tim Kogel, H. Meyr","doi":"10.1145/996566.996754","DOIUrl":"https://doi.org/10.1145/996566.996754","url":null,"abstract":"To meet conflicting flexibility, performance and cost constraints of demanding signal processing applications, future designs in this domain will contain an increasing number of application specific programmahle.units combined with complex communication and memory infrastructures. Novel architecture trends like Application Specific Instruction-set Processors (ASPS) as well as customized buses and Network-on-Chip based communication promise enormous potential for optimization. However, state-of-the-art tooling and design practice is not in a shape to take advantage of this advances in computer architecture and silicon technology. Currently, EDA industry develops two diverging strategies to cope with the design complexity of such application specific, heterogeneous MP-SoC platforms. First, the IPdriven approach emphasizes the composition of MP-SoC platforms from configurahle off-the-shelf Intellectual Property blocks. On the other hand, the design-driven approach strives to take design efficiency to the required level by use of system level design methodologies and IP generation tools. In this paper, we discuss technical and economical aspects of both strategies. Based on the analysis of recent trends in computer achitecture and system level design, we envision a hand-in-hand approach of signal processing platform architectures and design metholodgy to conquer the complexitv crisis in etnemine MP-SoC developments.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124993051","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
An SoC design methodology using FPGAs and embedded microprocessors 使用fpga和嵌入式微处理器的SoC设计方法
Pub Date : 2004-06-07 DOI: 10.1145/996566.996769
N. Ohba, K. Takano
In System on Chip (SoC) design, growing design complexity has forced designers to start designs at higher abstraction levels. This paper proposes an SoC design methodology that makes full use of FPGA capabilities. Design modules in different abstraction levels are all combined and run together in an FPGA prototyping system that fully emulates the target SoC. The higher abstraction level design modules run on microprocessors embedded in the FPGAs, while lower-level synthesizable RTL design modules are directly mapped onto FPGA reconfigurable cells. We made a hardware wrapper that gets the embedded microprocessors to interface with the fully synthesized modules through IBM CoreConnect buses. Using this methodology, we developed an image processor SoC with cryptographic functions, and we verified the design by running real firmware and application programs. For the designs that are too large to be fit into an FPGA, dynamic reconfiguration method is used.
在片上系统(SoC)设计中,不断增长的设计复杂性迫使设计者从更高的抽象层次开始设计。本文提出了一种充分利用FPGA功能的SoC设计方法。不同抽象级别的设计模块都组合在一起,在FPGA原型系统中一起运行,完全模拟目标SoC。较高抽象层次的设计模块运行在嵌入FPGA的微处理器上,而较低层次的可合成RTL设计模块直接映射到FPGA可重构单元上。我们制作了一个硬件包装器,使嵌入式微处理器通过IBM CoreConnect总线与完全合成的模块进行接口。利用该方法,我们开发了一个具有加密功能的图像处理器SoC,并通过运行实际固件和应用程序对设计进行了验证。对于尺寸太大而无法装入FPGA的设计,采用动态重构方法。
{"title":"An SoC design methodology using FPGAs and embedded microprocessors","authors":"N. Ohba, K. Takano","doi":"10.1145/996566.996769","DOIUrl":"https://doi.org/10.1145/996566.996769","url":null,"abstract":"In System on Chip (SoC) design, growing design complexity has forced designers to start designs at higher abstraction levels. This paper proposes an SoC design methodology that makes full use of FPGA capabilities. Design modules in different abstraction levels are all combined and run together in an FPGA prototyping system that fully emulates the target SoC. The higher abstraction level design modules run on microprocessors embedded in the FPGAs, while lower-level synthesizable RTL design modules are directly mapped onto FPGA reconfigurable cells. We made a hardware wrapper that gets the embedded microprocessors to interface with the fully synthesized modules through IBM CoreConnect buses. Using this methodology, we developed an image processor SoC with cryptographic functions, and we verified the design by running real firmware and application programs. For the designs that are too large to be fit into an FPGA, dynamic reconfiguration method is used.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124179706","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
High level cache simulation for heterogeneous multiprocessors 异构多处理器的高级缓存模拟
Pub Date : 2004-06-07 DOI: 10.1145/996566.996652
Joshua J. Pieper, A. Mellan, J. M. Paul, D. E. Thomas, F. Karim
As multiprocessor systems-on-chip become a reality, performance modeling becomes a challenge. To quickly evaluate many architectures, some type of high-level simulation is required, including high-level cache simulation. We propose to perform this cache simulation by defining a metric to represent memory behavior independently of cache structure and back-annotate this into the original application. While the annotation phase is complex, requiring time comparable to normal address trace based simulation, it need only be performed once per application set and thus enables simulation to be sped up by a factor of 20 to 50 over trace based simulation. This is important for embedded systems, as software is often evaluated against many input sets and many architectures. Our results show the technique is accurate to within 20% of miss rate for uniprocessors and was able to reduce the die area of a multiprocessor chip by a projected 14% over a naive design by accurately sizing caches for each processor.
随着多处理器片上系统成为现实,性能建模成为一个挑战。为了快速评估许多体系结构,需要某种类型的高级模拟,包括高级缓存模拟。我们建议通过定义一个度量来表示独立于缓存结构的内存行为,并将其反向注释到原始应用程序中来执行此缓存模拟。虽然注释阶段很复杂,所需的时间与普通的基于地址跟踪的模拟相当,但它只需要在每个应用程序集执行一次,因此可以使模拟的速度比基于跟踪的模拟提高20到50倍。这对于嵌入式系统非常重要,因为软件通常是根据许多输入集和许多体系结构进行评估的。我们的结果表明,该技术精确到单处理器丢失率的20%以内,并且能够通过精确调整每个处理器的缓存大小,将多处理器芯片的模具面积减少14%。
{"title":"High level cache simulation for heterogeneous multiprocessors","authors":"Joshua J. Pieper, A. Mellan, J. M. Paul, D. E. Thomas, F. Karim","doi":"10.1145/996566.996652","DOIUrl":"https://doi.org/10.1145/996566.996652","url":null,"abstract":"As multiprocessor systems-on-chip become a reality, performance modeling becomes a challenge. To quickly evaluate many architectures, some type of high-level simulation is required, including high-level cache simulation. We propose to perform this cache simulation by defining a metric to represent memory behavior independently of cache structure and back-annotate this into the original application. While the annotation phase is complex, requiring time comparable to normal address trace based simulation, it need only be performed once per application set and thus enables simulation to be sped up by a factor of 20 to 50 over trace based simulation. This is important for embedded systems, as software is often evaluated against many input sets and many architectures. Our results show the technique is accurate to within 20% of miss rate for uniprocessors and was able to reduce the die area of a multiprocessor chip by a projected 14% over a naive design by accurately sizing caches for each processor.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"128 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127089848","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 50
Re-synthesis for delay variation tolerance 延迟变化公差的重新合成
Pub Date : 2004-06-07 DOI: 10.1145/996566.996785
Shih-Chieh Chang, C. Hsieh, Kai-Chiang Wu
Several factors such as process variation, noises, and delay defects can degrade the reliabilities of a circuit. Traditional methods add a pessimistic timing margin to resolve delay variation problems. In this paper, instead of sacrificing the performance, we propose a re-synthesis technique which adds redundant logics to protect the performance. Because nodes in the critical paths have zero slacks and are vulnerable to delay variation, we formulate the problem of tolerating delay variation to be the problem of increasing the slacks of nodes. Our re-synthesis technique can increase the slacks of all nodes or wires to be larger than a pre-determined value. Our experimental results show that additional area penalty is around 21% for 10% of delay variation tolerance.
工艺变化、噪声和延迟缺陷等因素会降低电路的可靠性。传统方法通过引入悲观时间裕度来解决时延变化问题。本文在不牺牲性能的前提下,提出了一种通过增加冗余逻辑来保护性能的重合成技术。由于关键路径上的节点具有零松弛,并且容易受到延迟变化的影响,因此我们将容忍延迟变化问题表述为增加节点松弛的问题。我们的重合成技术可以增加所有节点或导线的松弛度,使其大于预先确定的值。我们的实验结果表明,当延迟变化容限为10%时,额外面积损失约为21%。
{"title":"Re-synthesis for delay variation tolerance","authors":"Shih-Chieh Chang, C. Hsieh, Kai-Chiang Wu","doi":"10.1145/996566.996785","DOIUrl":"https://doi.org/10.1145/996566.996785","url":null,"abstract":"Several factors such as process variation, noises, and delay defects can degrade the reliabilities of a circuit. Traditional methods add a pessimistic timing margin to resolve delay variation problems. In this paper, instead of sacrificing the performance, we propose a re-synthesis technique which adds redundant logics to protect the performance. Because nodes in the critical paths have zero slacks and are vulnerable to delay variation, we formulate the problem of tolerating delay variation to be the problem of increasing the slacks of nodes. Our re-synthesis technique can increase the slacks of all nodes or wires to be larger than a pre-determined value. Our experimental results show that additional area penalty is around 21% for 10% of delay variation tolerance.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"2132 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129979957","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
System level leakage reduction considering the interdependence of temperature and leakage 考虑温度和泄漏相互依赖的系统级泄漏降低
Pub Date : 2004-06-07 DOI: 10.1145/996566.996572
Lei He, W. Liao, M. Stan
The high leakage devices in nanometer technologies as well as the low activity rates in system-on-a-chip (SOC) contribute to the growing significance of leakage power at the system level. We first present system-level leakage-power modeling and characteristics and discuss ways to reduce leakage for caches. Considering the interdependence between leakage power and temperature, we then discuss thermal runaway and dynamic power and thermal management (DPTM) to reduce power and prevent thermal violations. We show that a thermal-independent leakage model may hide actual failures of DPTM. Finally, we present voltage scaling considering DPTM for different packaging options. We show that the optimal Vdd for the hest throughput may be smaller than the largest Vdd allowed by the given packaging platform, and that advanced cooling techniques can improve throughput significantly.
纳米技术中的高泄漏器件以及片上系统(SOC)的低活跃率使得泄漏功率在系统级的重要性日益增加。我们首先介绍了系统级泄漏功率建模和特性,并讨论了减少缓存泄漏的方法。考虑到泄漏功率和温度之间的相互依赖性,我们然后讨论了热失控和动态功率和热管理(DPTM),以降低功率和防止热违规。我们证明了一个与热无关的泄漏模型可以隐藏DPTM的实际故障。最后,我们提出了考虑DPTM的不同封装选项的电压缩放。我们表明,最高吞吐量的最佳Vdd可能小于给定封装平台允许的最大Vdd,并且先进的冷却技术可以显着提高吞吐量。
{"title":"System level leakage reduction considering the interdependence of temperature and leakage","authors":"Lei He, W. Liao, M. Stan","doi":"10.1145/996566.996572","DOIUrl":"https://doi.org/10.1145/996566.996572","url":null,"abstract":"The high leakage devices in nanometer technologies as well as the low activity rates in system-on-a-chip (SOC) contribute to the growing significance of leakage power at the system level. We first present system-level leakage-power modeling and characteristics and discuss ways to reduce leakage for caches. Considering the interdependence between leakage power and temperature, we then discuss thermal runaway and dynamic power and thermal management (DPTM) to reduce power and prevent thermal violations. We show that a thermal-independent leakage model may hide actual failures of DPTM. Finally, we present voltage scaling considering DPTM for different packaging options. We show that the optimal Vdd for the hest throughput may be smaller than the largest Vdd allowed by the given packaging platform, and that advanced cooling techniques can improve throughput significantly.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126511349","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 80
A SAT-based algorithm for reparameterization in symbolic simulation 符号仿真中基于sat的重参数化算法
Pub Date : 2004-06-07 DOI: 10.1145/996566.996711
P. Chauhan, E. Clarke, D. Kroening
Parametric representations used for symbolic simulation of circuits usually use BDDs. After a few steps of symbolic simulation, state set representation is converted from one parametric representation to another smaller representation, in a process called reparameterization. For large circuits, the reparametrization step often results in a blowup of BDDs and is expensive due to a large number of quantifications of input variables involved. Efficient SAT solvers have been applied successfully for many verification problems. This paper presents a novel SAT-based reparameterization algorithm that is largely immune to the large number of input variables that need to be quantified. We show experimental results on large industrial circuits and compare our new algorithm to both SAT-based Bounded Model Checking and BDD based symbolic simulation. We were able to achieve on average 3x improvement in time and space over BMC and able to complete many examples that BDD based approach could not even finish.
用于电路符号仿真的参数表示通常使用bdd。经过几个步骤的符号模拟后,状态集表示从一种参数表示转换为另一种较小的表示,这个过程称为重新参数化。对于大型电路,重参数化步骤通常会导致bdd的爆炸,并且由于涉及大量输入变量的量化,成本很高。高效的SAT求解器已成功地应用于许多验证问题。本文提出了一种新的基于sat的再参数化算法,该算法在很大程度上不受需要量化的大量输入变量的影响。我们展示了在大型工业电路上的实验结果,并将我们的新算法与基于sat的有界模型检查和基于BDD的符号仿真进行了比较。我们能够在时间和空间上实现比BMC平均3倍的改进,并且能够完成许多基于BDD的方法甚至无法完成的示例。
{"title":"A SAT-based algorithm for reparameterization in symbolic simulation","authors":"P. Chauhan, E. Clarke, D. Kroening","doi":"10.1145/996566.996711","DOIUrl":"https://doi.org/10.1145/996566.996711","url":null,"abstract":"Parametric representations used for symbolic simulation of circuits usually use BDDs. After a few steps of symbolic simulation, state set representation is converted from one parametric representation to another smaller representation, in a process called reparameterization. For large circuits, the reparametrization step often results in a blowup of BDDs and is expensive due to a large number of quantifications of input variables involved. Efficient SAT solvers have been applied successfully for many verification problems. This paper presents a novel SAT-based reparameterization algorithm that is largely immune to the large number of input variables that need to be quantified. We show experimental results on large industrial circuits and compare our new algorithm to both SAT-based Bounded Model Checking and BDD based symbolic simulation. We were able to achieve on average 3x improvement in time and space over BMC and able to complete many examples that BDD based approach could not even finish.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"217 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121478187","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A fast parasitic extractor based on low-rank multilevel matrix compression for conductor and dielectric modeling in microelectronics and MEMS 一种基于低秩多电平矩阵压缩的快速寄生提取器,用于微电子和MEMS中的导体和介质建模
Pub Date : 2004-06-07 DOI: 10.1145/996566.996780
D. Gope, Swagato Chakraborty, V. Jandhyala
Parasitic parameter extraction is a crucial issue in Integrated Circuit design. Integral equation based solvers, which guarantee high accuracy, suffer from a time and memory bottleneck arising from the dense matrices generated. .
寄生参数提取是集成电路设计中的关键问题。基于积分方程的求解方法保证了较高的求解精度,但由于生成的矩阵密集,存在时间和内存瓶颈。
{"title":"A fast parasitic extractor based on low-rank multilevel matrix compression for conductor and dielectric modeling in microelectronics and MEMS","authors":"D. Gope, Swagato Chakraborty, V. Jandhyala","doi":"10.1145/996566.996780","DOIUrl":"https://doi.org/10.1145/996566.996780","url":null,"abstract":"Parasitic parameter extraction is a crucial issue in Integrated Circuit design. Integral equation based solvers, which guarantee high accuracy, suffer from a time and memory bottleneck arising from the dense matrices generated. .","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121359687","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Scalable selector architecture for X-tolerant deterministic BIST 用于x容错确定性BIST的可扩展选择器架构
Pub Date : 2004-06-07 DOI: 10.1145/996566.996814
P. Wohl, J. Waicukauski, Sanjay B. Patel
X-tolerant deterministic BIST (XDBIST) was recently presented as a method to efficiently compress and apply scan patterns generated by automatic test pattern generation (ATPG) in a logic built-in self-test architecture. In this paper we introduce a novel selector architecture that allows arbitrary compression ratios, scales to any number of scan chains and minimizes area overhead. XDBIST test-coverage, full X-tolerance and scan-based diagnosis ability are preserved and are the same as deterministic scan-ATPG.
x容忍确定性BIST (XDBIST)是最近提出的一种有效压缩和应用逻辑内置自测体系结构中自动测试模式生成(ATPG)生成的扫描模式的方法。在本文中,我们介绍了一种新的选择器架构,它允许任意压缩比,缩放到任意数量的扫描链,并最小化面积开销。保留了XDBIST测试覆盖率、全x耐受性和基于扫描的诊断能力,与确定性扫描- atpg相同。
{"title":"Scalable selector architecture for X-tolerant deterministic BIST","authors":"P. Wohl, J. Waicukauski, Sanjay B. Patel","doi":"10.1145/996566.996814","DOIUrl":"https://doi.org/10.1145/996566.996814","url":null,"abstract":"X-tolerant deterministic BIST (XDBIST) was recently presented as a method to efficiently compress and apply scan patterns generated by automatic test pattern generation (ATPG) in a logic built-in self-test architecture. In this paper we introduce a novel selector architecture that allows arbitrary compression ratios, scales to any number of scan chains and minimizes area overhead. XDBIST test-coverage, full X-tolerance and scan-based diagnosis ability are preserved and are the same as deterministic scan-ATPG.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"67 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114255785","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 40
Symmetry detection for incompletely specified functions 不完全指定函数的对称检测
Pub Date : 2004-06-07 DOI: 10.1145/996566.996690
Kuo-Hua Wang, Jia-Hung Chen
In this paper, we formulate symmetry detection for incompletely specified functions as an equation without using cofactor computation and equivalence checking. Based on this equation, a symmetry detection algorithm is proposed. This algorithm can simultaneously find non-equivalence and equivalence symmetries. Experimental results on a set of benchmarks show that our algorithm is indeed very effective in solving symmetry detection problem for incompletely specified functions.
本文将不完全指定函数的对称性检测表述为一个方程,而不使用协因式计算和等价性检验。在此基础上,提出了一种对称检测算法。该算法可以同时发现非等价对称性和等价对称性。在一组基准测试上的实验结果表明,我们的算法确实非常有效地解决了不完全指定函数的对称性检测问题。
{"title":"Symmetry detection for incompletely specified functions","authors":"Kuo-Hua Wang, Jia-Hung Chen","doi":"10.1145/996566.996690","DOIUrl":"https://doi.org/10.1145/996566.996690","url":null,"abstract":"In this paper, we formulate symmetry detection for incompletely specified functions as an equation without using cofactor computation and equivalence checking. Based on this equation, a symmetry detection algorithm is proposed. This algorithm can simultaneously find non-equivalence and equivalence symmetries. Experimental results on a set of benchmarks show that our algorithm is indeed very effective in solving symmetry detection problem for incompletely specified functions.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"236 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122630173","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Parametric yield estimation considering leakage variability 考虑泄漏变异性的参数屈服估计
Pub Date : 2004-06-07 DOI: 10.1145/996566.996693
Rajeev R. Rao, A. Devgan, D. Blaauw, D. Sylvester
Leakage current has become a stringent constraint in modern processor designs in addition to traditional constraints on frequency. Since leakage current exhibits a strong inverse correlation with circuit delay, effective parametric yield prediction must consider the dependence of leakage current on frequency. In this paper, we present a new chip-level statistical method to estimate the total leakage current in the presence of within-die and die-to-die variability. We develop a closed-form expression for total chip leakage that models the dependence of the leakage current distribution on a number of process parameters. The model is based on the concept of scaling factors to capture the effects of within-die variability. Using this model, we then present an integrated approach to accurately estimate the yield loss when both frequency and power limits are imposed on a design. Our method demonstrates the importance of considering both these limiters in calculating the yield of a lot.
除了传统的频率限制外,泄漏电流已成为现代处理器设计的严格限制。由于泄漏电流与电路延迟呈强烈的负相关,因此有效的参数良率预测必须考虑泄漏电流对频率的依赖性。在本文中,我们提出了一种新的芯片级统计方法来估计存在模内和模间变化的总泄漏电流。我们开发了一个芯片总泄漏的封闭表达式,该表达式模拟了泄漏电流分布对许多工艺参数的依赖性。该模型基于比例因子的概念,以捕获模内变异性的影响。利用该模型,我们提出了一种集成的方法来准确估计在设计中施加频率和功率限制时的产量损失。我们的方法证明了在计算大量产量时考虑这两个限制因素的重要性。
{"title":"Parametric yield estimation considering leakage variability","authors":"Rajeev R. Rao, A. Devgan, D. Blaauw, D. Sylvester","doi":"10.1145/996566.996693","DOIUrl":"https://doi.org/10.1145/996566.996693","url":null,"abstract":"Leakage current has become a stringent constraint in modern processor designs in addition to traditional constraints on frequency. Since leakage current exhibits a strong inverse correlation with circuit delay, effective parametric yield prediction must consider the dependence of leakage current on frequency. In this paper, we present a new chip-level statistical method to estimate the total leakage current in the presence of within-die and die-to-die variability. We develop a closed-form expression for total chip leakage that models the dependence of the leakage current distribution on a number of process parameters. The model is based on the concept of scaling factors to capture the effects of within-die variability. Using this model, we then present an integrated approach to accurately estimate the yield loss when both frequency and power limits are imposed on a design. Our method demonstrates the importance of considering both these limiters in calculating the yield of a lot.","PeriodicalId":115059,"journal":{"name":"Proceedings. 41st Design Automation Conference, 2004.","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-06-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124013473","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 149
期刊
Proceedings. 41st Design Automation Conference, 2004.
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1