首页 > 最新文献

2012 IEEE 30th International Conference on Computer Design (ICCD)最新文献

英文 中文
Flash correct-and-refresh: Retention-aware error management for increased flash memory lifetime 闪存更正和刷新:保留感知错误管理,增加闪存寿命
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378623
Yu Cai, Gulay Yalcin, O. Mutlu, E. Haratsch, A. Cristal, O. Unsal, K. Mai
With the continued scaling of NAND flash and multi-level cell technology, flash-based storage has gained widespread use in systems ranging from mobile platforms to enterprise servers. However, the robustness of NAND flash cells is an increasing concern, especially at nanometer-regime process geometries. NAND flash memory bit error rate increases exponentially with the number of program/erase cycles. Stronger error correcting codes (ECC) can be used to tolerate higher error rates, but these have diminishing returns with increasing P/E cycles and can have prohibitively high power, area, and latency overheads. The goal of this paper is to develop new techniques that can tolerate high bit error rates without requiring prohibitively strong ECC. Our techniques, called Flash Correct-and-Refresh (FCR) exploit the observation that the dominant error source in NAND flash memory is retention errors, caused by flash cells losing charge over time. The key idea is to periodically read, correct, and reprogram (in-place) or remap the stored data before it accumulates more retention errors than can be corrected by simple ECC. Detailed simulations of a solid-state drive (SSD) storage system driven by measured experimental data from error characterization on real flash memory chips show that our techniques provide 46× average lifetime improvement on a variety of workloads at no additional hardware cost. We also find that our techniques achieve lifetime improvements that cannot feasibly be achieved with stronger ECC.
随着NAND闪存和多级存储单元技术的不断扩展,基于闪存的存储已经在从移动平台到企业服务器的系统中得到了广泛的应用。然而,NAND闪存单元的稳健性越来越受到关注,特别是在纳米级工艺几何结构中。NAND闪存的误码率随着程序/擦除周期的增加呈指数增长。可以使用更强的纠错码(ECC)来容忍更高的错误率,但是随着P/E周期的增加,这些代码的回报会减少,并且可能具有过高的功耗、面积和延迟开销。本文的目标是开发能够容忍高误码率的新技术,而不需要过于强大的ECC。我们的技术,称为闪存校正和刷新(FCR)利用了NAND闪存中主要错误来源是保留错误的观察结果,这是由闪存单元随着时间的推移失去电荷引起的。关键思想是在存储的数据积累的保留错误超过简单ECC所能纠正的错误之前,定期读取、纠正和重新编程(就地)或重新映射存储的数据。通过实际闪存芯片上的误差表征测量实验数据驱动的固态驱动器(SSD)存储系统的详细模拟表明,我们的技术在不增加硬件成本的情况下,在各种工作负载上提供了46倍的平均寿命改进。我们还发现,我们的技术实现了更强的ECC无法实现的生命周期改进。
{"title":"Flash correct-and-refresh: Retention-aware error management for increased flash memory lifetime","authors":"Yu Cai, Gulay Yalcin, O. Mutlu, E. Haratsch, A. Cristal, O. Unsal, K. Mai","doi":"10.1109/ICCD.2012.6378623","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378623","url":null,"abstract":"With the continued scaling of NAND flash and multi-level cell technology, flash-based storage has gained widespread use in systems ranging from mobile platforms to enterprise servers. However, the robustness of NAND flash cells is an increasing concern, especially at nanometer-regime process geometries. NAND flash memory bit error rate increases exponentially with the number of program/erase cycles. Stronger error correcting codes (ECC) can be used to tolerate higher error rates, but these have diminishing returns with increasing P/E cycles and can have prohibitively high power, area, and latency overheads. The goal of this paper is to develop new techniques that can tolerate high bit error rates without requiring prohibitively strong ECC. Our techniques, called Flash Correct-and-Refresh (FCR) exploit the observation that the dominant error source in NAND flash memory is retention errors, caused by flash cells losing charge over time. The key idea is to periodically read, correct, and reprogram (in-place) or remap the stored data before it accumulates more retention errors than can be corrected by simple ECC. Detailed simulations of a solid-state drive (SSD) storage system driven by measured experimental data from error characterization on real flash memory chips show that our techniques provide 46× average lifetime improvement on a variety of workloads at no additional hardware cost. We also find that our techniques achieve lifetime improvements that cannot feasibly be achieved with stronger ECC.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123930233","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 215
Multi-voltage domain clock mesh design 多电压域时钟网格设计
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378641
Can Sitik, B. Taskin
This paper investigates the effectiveness of a multi-voltage clock network design that is built using the mesh topology. Unlike a clock tree, a single clock mesh that spans multiple voltage domains is infeasible due to the incompatibility of voltage levels of the clock drivers on the electrically-shorted mesh - each voltage domain requires a separate mesh. These disjoint meshes need to be matched in clock skew between the domains. In addition, the additional power dissipation of the level shifters in the logic needs to be compared against the power savings of multi-voltage domain implementation. The case study performed with the largest ISCAS'89 benchmark circuits operating at 500 MHz, 90 nm technology concludes two important results that highlight the benefits of multi-voltage clock mesh design: 1) The multi-voltage domain clock mesh can achieve 37.14% lower power with a 9 ps increase in clock skew over the single-voltage domain clock mesh, and 2) The multi-voltage domain clock mesh achieves 66 ps less skew with a 20.92% increase in power dissipation over a multi-voltage domain clock tree.
本文研究了采用网状拓扑结构构建的多电压时钟网络设计的有效性。与时钟树不同,由于电短路网格上时钟驱动器的电压水平不兼容,跨越多个电压域的单个时钟网格是不可行的——每个电压域都需要一个单独的网格。这些不相交的网格需要在域之间的时钟偏差中进行匹配。此外,需要将逻辑中电平移位器的额外功耗与多电压域实现的功耗节省进行比较。案例研究采用最大的ISCAS'89基准电路,工作频率为500 MHz, 90 nm技术,得出了两个重要的结果,突出了多电压时钟网格设计的优势:1)与单电压域时钟相比,多电压域时钟网格的时钟偏差增加了9 ps,功耗降低了37.14%;2)与多电压域时钟树相比,多电压域时钟网格的时钟偏差减少了66 ps,功耗增加了20.92%。
{"title":"Multi-voltage domain clock mesh design","authors":"Can Sitik, B. Taskin","doi":"10.1109/ICCD.2012.6378641","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378641","url":null,"abstract":"This paper investigates the effectiveness of a multi-voltage clock network design that is built using the mesh topology. Unlike a clock tree, a single clock mesh that spans multiple voltage domains is infeasible due to the incompatibility of voltage levels of the clock drivers on the electrically-shorted mesh - each voltage domain requires a separate mesh. These disjoint meshes need to be matched in clock skew between the domains. In addition, the additional power dissipation of the level shifters in the logic needs to be compared against the power savings of multi-voltage domain implementation. The case study performed with the largest ISCAS'89 benchmark circuits operating at 500 MHz, 90 nm technology concludes two important results that highlight the benefits of multi-voltage clock mesh design: 1) The multi-voltage domain clock mesh can achieve 37.14% lower power with a 9 ps increase in clock skew over the single-voltage domain clock mesh, and 2) The multi-voltage domain clock mesh achieves 66 ps less skew with a 20.92% increase in power dissipation over a multi-voltage domain clock tree.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129155036","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
FlexRAM: Toward an advanced Intelligent Memory system: A retrospective paper FlexRAM:迈向先进的智能存储系统:回顾论文
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378607
J. Torrellas
For conventional memory use, this design improves bandwidth, latency and energy characteristics - without changing the high-volume DRAM design. However, it is easy to imagine how to augment the capabilities of the logic die to support Intelligent Memory Operations. These can consist of preprocessing the data as it is read from the DRAM stack into the processor chip. They can also involve performing operations in place on the DRAM data.
对于传统内存使用,这种设计改善了带宽、延迟和能量特性,而无需改变大容量DRAM设计。然而,很容易想象如何增强逻辑芯片的功能来支持智能内存操作。当数据从DRAM堆栈读取到处理器芯片时,可以对数据进行预处理。它们还可能涉及对DRAM数据执行适当的操作。
{"title":"FlexRAM: Toward an advanced Intelligent Memory system: A retrospective paper","authors":"J. Torrellas","doi":"10.1109/ICCD.2012.6378607","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378607","url":null,"abstract":"For conventional memory use, this design improves bandwidth, latency and energy characteristics - without changing the high-volume DRAM design. However, it is easy to imagine how to augment the capabilities of the logic die to support Intelligent Memory Operations. These can consist of preprocessing the data as it is read from the DRAM stack into the processor chip. They can also involve performing operations in place on the DRAM data.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129366198","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
Dynamic warp resizing: Analysis and benefits in high-performance SIMT 动态经纱调整:高性能SIMT的分析与效益
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378694
Ahmad Lashgar, A. Baniasadi, A. Khonsari
Modern GPUs synchronize threads grouped in warps. The number of threads included in each warp (or warp size) affects divergence, synchronization overhead, and the efficiency of memory access coalescing. Small warps reduce the performance penalty associated with branch and memory divergence at the expense of a reduction in memory coalescing. Large warps enhance memory coalescing significantly but also increase branch and memory divergence. Dynamic workload behavior, including branch/memory divergence and coalescing, is an important factor in determining the warp size returning best performance. Based on this observation, we propose Dynamic Warp Resizing (DWR). DWR outperforms static warp size decisions, up to 2.28X.
现代gpu同步线程分组在扭曲。每个warp中包含的线程数(或warp大小)会影响散度、同步开销和内存访问合并的效率。较小的翘曲减少了与分支和内存发散相关的性能损失,但代价是内存合并的减少。大翘曲显著增强了内存聚合,但也增加了分支和内存的发散。动态工作负载行为,包括分支/内存发散和合并,是决定翘曲大小以获得最佳性能的重要因素。基于这一观察,我们提出动态调整经纱大小(DWR)。DWR优于静态经纱大小决定,高达2.28倍。
{"title":"Dynamic warp resizing: Analysis and benefits in high-performance SIMT","authors":"Ahmad Lashgar, A. Baniasadi, A. Khonsari","doi":"10.1109/ICCD.2012.6378694","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378694","url":null,"abstract":"Modern GPUs synchronize threads grouped in warps. The number of threads included in each warp (or warp size) affects divergence, synchronization overhead, and the efficiency of memory access coalescing. Small warps reduce the performance penalty associated with branch and memory divergence at the expense of a reduction in memory coalescing. Large warps enhance memory coalescing significantly but also increase branch and memory divergence. Dynamic workload behavior, including branch/memory divergence and coalescing, is an important factor in determining the warp size returning best performance. Based on this observation, we propose Dynamic Warp Resizing (DWR). DWR outperforms static warp size decisions, up to 2.28X.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114795198","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Understanding variance propagation in stochastic computing systems 理解随机计算系统中的方差传播
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378643
Chengguang Ma, Shun'an Zhong, H. Dang
Stochastic arithmetic provide several benefits over traditional computing method such as high fault tolerance, simple hardware implementation, low hardware area. In order to increase accuracy of error analysis and improve method of performance evaluation for stochastic computing systems, a new variance transfer function for stochastic computing systems based on combinational logic is proposed in this work. The transfer function is proved by a new mathematical method: hypergeometric decomposition, which makes stochastic computing theory more perfect and reliable. According to the variance transfer function, several measurements based on variance are developed to evaluate performance between different stochastic computing algorithms. By comparing this method with traditional bit-level simulation method, variance measurements are proved to be less time consumption, more comprehensive, and more effective to evaluate and understand stochastic computing systems.
与传统的计算方法相比,随机算法具有容错性高、硬件实现简单、硬件面积小等优点。为了提高随机计算系统误差分析的准确性,改进随机计算系统的性能评价方法,提出了一种基于组合逻辑的随机计算系统方差传递函数。用一种新的数学方法——超几何分解证明了传递函数,使随机计算理论更加完善和可靠。根据方差传递函数,提出了几种基于方差的度量来评价不同随机计算算法之间的性能。通过与传统的比特级模拟方法的比较,证明方差测量耗时更少,更全面,更有效地评估和理解随机计算系统。
{"title":"Understanding variance propagation in stochastic computing systems","authors":"Chengguang Ma, Shun'an Zhong, H. Dang","doi":"10.1109/ICCD.2012.6378643","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378643","url":null,"abstract":"Stochastic arithmetic provide several benefits over traditional computing method such as high fault tolerance, simple hardware implementation, low hardware area. In order to increase accuracy of error analysis and improve method of performance evaluation for stochastic computing systems, a new variance transfer function for stochastic computing systems based on combinational logic is proposed in this work. The transfer function is proved by a new mathematical method: hypergeometric decomposition, which makes stochastic computing theory more perfect and reliable. According to the variance transfer function, several measurements based on variance are developed to evaluate performance between different stochastic computing algorithms. By comparing this method with traditional bit-level simulation method, variance measurements are proved to be less time consumption, more comprehensive, and more effective to evaluate and understand stochastic computing systems.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127430601","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Phase-based passive stereovision systems dedicated to cortical visual stimulators 专注于皮质视觉刺激器的相位被动立体视觉系统
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378649
Firas Hawi, M. Sawan
In this paper, we design, evaluate and compare two phase-based passive stereovision architectures. We present two approaches to implement phase-based correspondence search algorithms in real-time for sparse stereovision applications. The first approach enhances the accuracy of the 1D phase correlation method. The second approach optimizes the 2D phase correlation method at the cost of degradation in disparity estimation accuracy. We report experimental results that encourage the use of the proposed systems in a 3D imaging device dedicated to cover vision for blinds through cortical visual stimulation. FPGA implementation running at 200 fps is described.
在本文中,我们设计、评估和比较了两种基于相位的被动立体视觉架构。我们提出了两种方法来实现基于相位的对应搜索算法,用于稀疏立体视觉的实时应用。第一种方法提高了一维相位相关法的精度。第二种方法以降低视差估计精度为代价,对二维相位相关方法进行了优化。我们报告的实验结果鼓励在3D成像设备中使用所提出的系统,通过皮质视觉刺激来覆盖盲人的视觉。描述了运行速度为200fps的FPGA实现。
{"title":"Phase-based passive stereovision systems dedicated to cortical visual stimulators","authors":"Firas Hawi, M. Sawan","doi":"10.1109/ICCD.2012.6378649","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378649","url":null,"abstract":"In this paper, we design, evaluate and compare two phase-based passive stereovision architectures. We present two approaches to implement phase-based correspondence search algorithms in real-time for sparse stereovision applications. The first approach enhances the accuracy of the 1D phase correlation method. The second approach optimizes the 2D phase correlation method at the cost of degradation in disparity estimation accuracy. We report experimental results that encourage the use of the proposed systems in a 3D imaging device dedicated to cover vision for blinds through cortical visual stimulation. FPGA implementation running at 200 fps is described.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124524120","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Malicious key emission via hardware Trojan against encryption system 通过硬件木马对加密系统进行恶意密钥发射
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378628
D. Hély, Maurin Augagneur, Y. Clauzel, Jeremy Dubeuf
In this work, we propose a hardware Trojan within a given encryption platform. This malicious hardware aims at leaking the secret key used for encryption without perturbing the system so that the user does not notice it. We propose a hardware Trojan which detects any new encryption start and then transmit the used expended key on the system serial link. This hardware Trojan does not require any processor modification. The paper presents the way the hardware Trojan has been developed according the given platform and the associated information. This work has been developed by Grenoble INP students (M. Augagneur, Y. Clauzel and J. Dubeuf) during the secure IC design labs of the Grenoble INP Esisar and was then presented for the Embedded System Challenge during the Cyber Security Awareness Week (CSAW) 2011 organized by Polytechnic Institute of New York University.
在这项工作中,我们提出了一个硬件木马在给定的加密平台。这种恶意硬件的目的是在不干扰系统的情况下泄露用于加密的密钥,这样用户就不会注意到它。我们提出了一种硬件木马,它可以检测到任何新的加密启动,然后在系统串行链路上传输使用的扩展密钥。这个硬件木马不需要任何处理器修改。本文介绍了基于给定平台和相关信息的硬件木马的开发方法。这项工作是由格勒诺布尔INP学生(M. Augagneur, Y. Clauzel和J. Dubeuf)在格勒诺布尔INP Esisar的安全IC设计实验室中开发的,然后在2011年由纽约大学理工学院组织的网络安全意识周(CSAW)期间提出嵌入式系统挑战。
{"title":"Malicious key emission via hardware Trojan against encryption system","authors":"D. Hély, Maurin Augagneur, Y. Clauzel, Jeremy Dubeuf","doi":"10.1109/ICCD.2012.6378628","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378628","url":null,"abstract":"In this work, we propose a hardware Trojan within a given encryption platform. This malicious hardware aims at leaking the secret key used for encryption without perturbing the system so that the user does not notice it. We propose a hardware Trojan which detects any new encryption start and then transmit the used expended key on the system serial link. This hardware Trojan does not require any processor modification. The paper presents the way the hardware Trojan has been developed according the given platform and the associated information. This work has been developed by Grenoble INP students (M. Augagneur, Y. Clauzel and J. Dubeuf) during the secure IC design labs of the Grenoble INP Esisar and was then presented for the Embedded System Challenge during the Cyber Security Awareness Week (CSAW) 2011 organized by Polytechnic Institute of New York University.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121845908","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
MSE minimization and fault-tolerant data fusion for multi-sensor systems 多传感器系统的最小均方差与容错数据融合
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378677
Atena Roshan Fekr, Majid Janidarmian, O. Sarbishei, Benjamin Nahill, K. Radecka, Z. Zilic
Multi-sensor data fusion is an efficient method to provide both accurate and fault-tolerant sensor readouts. Furthermore, detection of faults in a reasonably short amount of time is crucial for applications dealing with high risks. In order to deliver high accuracies for the sensor measurements, it is required to perform a calibration for each sensor. This paper focuses on designing a fault-tolerant calibrated multisensor system. First, the least squares method is applied to calibrate each sensor using a linear curve fitting function. Next, an analytical technique is proposed to carry out a fault-tolerant multi-sensor data fusion, while minimizing the Mean-Square-Error (MSE) for the final sensor readout. While our data fusion approach is applicable to different multi-sensor systems, the experimental results are shown for 16 temperature sensors, where an environmental thermal chamber was used as the reference model to calibrate the sensors and perform the measurements.
多传感器数据融合是提供准确和容错传感器读数的有效方法。此外,在相当短的时间内检测故障对于处理高风险的应用程序至关重要。为了提供高精度的传感器测量,需要对每个传感器进行校准。本文的重点是设计一种容错校准的多传感器系统。首先,利用线性曲线拟合函数,采用最小二乘法对各传感器进行标定。接下来,提出了一种分析技术来进行容错多传感器数据融合,同时最小化最终传感器读出的均方误差(MSE)。虽然我们的数据融合方法适用于不同的多传感器系统,但实验结果显示了16个温度传感器,其中使用环境热室作为参考模型来校准传感器并执行测量。
{"title":"MSE minimization and fault-tolerant data fusion for multi-sensor systems","authors":"Atena Roshan Fekr, Majid Janidarmian, O. Sarbishei, Benjamin Nahill, K. Radecka, Z. Zilic","doi":"10.1109/ICCD.2012.6378677","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378677","url":null,"abstract":"Multi-sensor data fusion is an efficient method to provide both accurate and fault-tolerant sensor readouts. Furthermore, detection of faults in a reasonably short amount of time is crucial for applications dealing with high risks. In order to deliver high accuracies for the sensor measurements, it is required to perform a calibration for each sensor. This paper focuses on designing a fault-tolerant calibrated multisensor system. First, the least squares method is applied to calibrate each sensor using a linear curve fitting function. Next, an analytical technique is proposed to carry out a fault-tolerant multi-sensor data fusion, while minimizing the Mean-Square-Error (MSE) for the final sensor readout. While our data fusion approach is applicable to different multi-sensor systems, the experimental results are shown for 16 temperature sensors, where an environmental thermal chamber was used as the reference model to calibrate the sensors and perform the measurements.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121883025","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
Mitigating NBTI in the physical register file through stress prediction 通过应力预测减轻物理寄存器文件中的NBTI
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378662
Saurabh Kothawade, D. Ancajas, Koushik Chakraborty, Sanghamitra Roy
Degradation of transistor parameter values due to Negative Bias Temperature Instability (NBTI) has emerged as a major reliability problem in current and future transistor generations. NBTI Aging of SRAM cell leads to a lower noise margin, thereby increasing the failure rate. The physical register file, which consists of an array of SRAM cells, can suffer from data loss, leading to system failure. In this paper, we explore a novel approach by investigating NBTI stress and mitigation at the instruction granularity. While a wide range of NBTI stress exists in different registers, the stress induced by specific instructions is highly predictable. Using such a prediction mechanism, we propose an NBTI tolerant power efficient physical register file design. Our approach improves the noise margin in a register file by 20%, 32%, and 125% for the 45nm, 32nm, and 22nm technology nodes, respectively. Overall, we observe 14.8% power saving and a 19.8% area penalty in the register file.
负偏置温度不稳定性(NBTI)引起的晶体管参数值退化已成为当前和未来晶体管可靠性的主要问题。SRAM单元的NBTI老化导致噪声裕度降低,从而增加故障率。由一组SRAM单元组成的物理寄存器文件可能会丢失数据,从而导致系统故障。在本文中,我们通过在指令粒度上研究NBTI的压力和缓解来探索一种新的方法。虽然NBTI应力存在于不同的寄存器中,但由特定指令引起的应力是高度可预测的。利用这种预测机制,我们提出了一种耐NBTI的低功耗物理寄存器文件设计。对于45nm、32nm和22nm技术节点,我们的方法分别将寄存器文件中的噪声边际提高了20%、32%和125%。总的来说,我们观察到在寄存器文件中节省14.8%的电力和19.8%的面积损失。
{"title":"Mitigating NBTI in the physical register file through stress prediction","authors":"Saurabh Kothawade, D. Ancajas, Koushik Chakraborty, Sanghamitra Roy","doi":"10.1109/ICCD.2012.6378662","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378662","url":null,"abstract":"Degradation of transistor parameter values due to Negative Bias Temperature Instability (NBTI) has emerged as a major reliability problem in current and future transistor generations. NBTI Aging of SRAM cell leads to a lower noise margin, thereby increasing the failure rate. The physical register file, which consists of an array of SRAM cells, can suffer from data loss, leading to system failure. In this paper, we explore a novel approach by investigating NBTI stress and mitigation at the instruction granularity. While a wide range of NBTI stress exists in different registers, the stress induced by specific instructions is highly predictable. Using such a prediction mechanism, we propose an NBTI tolerant power efficient physical register file design. Our approach improves the noise margin in a register file by 20%, 32%, and 125% for the 45nm, 32nm, and 22nm technology nodes, respectively. Overall, we observe 14.8% power saving and a 19.8% area penalty in the register file.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123481222","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Architectural impact of secure socket layer on Internet servers 安全套接字层对Internet服务器的体系结构影响
Pub Date : 2012-09-30 DOI: 10.1109/ICCD.2012.6378611
K. Kant, R. Iyer, P. Mohapatra
Secure socket layer (SSL) is the most popular protocol used in the Internet for facilitating secure communications. In this paper, we analyze the performance and architectural impact of SSL on the servers in terms of various parameters such as throughput, utilization, cache sizes, cache miss ratios, number of processors, control dependencies, file access sizes, bus transactions, network load, etc. The major conclusions from this study are as follows: The use of SSL increases computational cost of the transactions by a factor of 5-7. SSL transactions do not benefit much from a larger L2 cache, but a larger LI cache would be helpful. A complex logic for handling control dependencies is not useful for SSL transaction as the frequency of branches is very low. Because SSL workload is highly CPU bound, it may be possible to enhance SSL performance by using a number of other architectural features as well.
安全套接字层(SSL)是Internet中用于促进安全通信的最流行的协议。在本文中,我们从吞吐量、利用率、缓存大小、缓存缺失率、处理器数量、控制依赖关系、文件访问大小、总线事务、网络负载等各种参数来分析SSL对服务器的性能和体系结构影响。本研究的主要结论如下:SSL的使用使事务的计算成本增加了5-7倍。更大的L2缓存不会给SSL事务带来太多好处,但更大的LI缓存会有所帮助。处理控制依赖项的复杂逻辑对于SSL事务是没有用的,因为分支的频率非常低。由于SSL工作负载受到CPU的高度限制,因此还可以通过使用许多其他体系结构特性来增强SSL性能。
{"title":"Architectural impact of secure socket layer on Internet servers","authors":"K. Kant, R. Iyer, P. Mohapatra","doi":"10.1109/ICCD.2012.6378611","DOIUrl":"https://doi.org/10.1109/ICCD.2012.6378611","url":null,"abstract":"Secure socket layer (SSL) is the most popular protocol used in the Internet for facilitating secure communications. In this paper, we analyze the performance and architectural impact of SSL on the servers in terms of various parameters such as throughput, utilization, cache sizes, cache miss ratios, number of processors, control dependencies, file access sizes, bus transactions, network load, etc. The major conclusions from this study are as follows: The use of SSL increases computational cost of the transactions by a factor of 5-7. SSL transactions do not benefit much from a larger L2 cache, but a larger LI cache would be helpful. A complex logic for handling control dependencies is not useful for SSL transaction as the frequency of branches is very low. Because SSL workload is highly CPU bound, it may be possible to enhance SSL performance by using a number of other architectural features as well.","PeriodicalId":313428,"journal":{"name":"2012 IEEE 30th International Conference on Computer Design (ICCD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131675733","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
期刊
2012 IEEE 30th International Conference on Computer Design (ICCD)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1