首页 > 最新文献

ACM Transactions on Design Automation of Electronic Systems最新文献

英文 中文
Introduction to the Special Issue on Design for Testability and Reliability of Security-aware Hardware 安全意识硬件的可测试性和可靠性设计》特刊简介
IF 1.4 4区 计算机科学 Q2 Computer Science Pub Date : 2023-12-18 DOI: 10.1145/3631476
Tianming Ni, Xiaoqing Wen, Hussam Amrouch, Cheng Zhuo, Peilin Song

The research on design for testability and reliability of security-aware hardware has been important in both academia and industry. With ever-growing globalization, commercial hardware design, manufacturing, transportation, and supply now involve many different countries, resulting in aggravated vulnerability from hardware design to manufacturing. Hardware with malicious purposes implanted from the third-party manufacturing process may control the operation of a circuit and tamper its functions, causing serious security issues. However, hardware includes not only devices and circuits but also systems. An important fact is that testability, reliability, and security technologies come from different design layers, but the impact evaluation is conducted at the system level. In other words, the testability, reliability, and security design of different layers can be carried out in a holistic manner to achieve optimization for the whole system. In addition, the testability, reliability, and security design technologies of each design layer can be collaboratively conducted to achieve better performance. The testability, reliability, and security tradeoff has garnered attention from academia and industry, particularly in the Post-Moore Era, due to the complexities and opportunities arising from new architectures and technologies.

在学术界和工业界,关于安全意识硬件的可测试性和可靠性设计的研究都非常重要。随着全球化的不断发展,商业硬件的设计、制造、运输和供应现在涉及许多不同的国家,导致从硬件设计到制造的脆弱性加剧。第三方制造过程中植入的恶意硬件可能会控制电路的运行并篡改其功能,从而引发严重的安全问题。然而,硬件不仅包括设备和电路,还包括系统。一个重要的事实是,可测试性、可靠性和安全性技术来自不同的设计层,但影响评估是在系统层进行的。换句话说,不同层次的可测试性、可靠性和安全性设计可以整体进行,以实现整个系统的优化。此外,各设计层的可测试性、可靠性和安全性设计技术可以协同进行,以实现更好的性能。由于新架构和新技术带来的复杂性和机遇,可测试性、可靠性和安全性的权衡受到了学术界和工业界的关注,特别是在后摩尔时代。
{"title":"Introduction to the Special Issue on Design for Testability and Reliability of Security-aware Hardware","authors":"Tianming Ni, Xiaoqing Wen, Hussam Amrouch, Cheng Zhuo, Peilin Song","doi":"10.1145/3631476","DOIUrl":"https://doi.org/10.1145/3631476","url":null,"abstract":"<p>The research on design for testability and reliability of security-aware hardware has been important in both academia and industry. With ever-growing globalization, commercial hardware design, manufacturing, transportation, and supply now involve many different countries, resulting in aggravated vulnerability from hardware design to manufacturing. Hardware with malicious purposes implanted from the third-party manufacturing process may control the operation of a circuit and tamper its functions, causing serious security issues. However, hardware includes not only devices and circuits but also systems. An important fact is that testability, reliability, and security technologies come from different design layers, but the impact evaluation is conducted at the system level. In other words, the testability, reliability, and security design of different layers can be carried out in a holistic manner to achieve optimization for the whole system. In addition, the testability, reliability, and security design technologies of each design layer can be collaboratively conducted to achieve better performance. The testability, reliability, and security tradeoff has garnered attention from academia and industry, particularly in the Post-Moore Era, due to the complexities and opportunities arising from new architectures and technologies.</p>","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":1.4,"publicationDate":"2023-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"138744333","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
RSPP: Restricted Static Pseudo-Partitioning for Mitigation of Cross-Core Covert Channel Attacks RSPP:用于缓解跨核心隐蔽信道攻击的受限静态伪分区技术
IF 1.4 4区 计算机科学 Q2 Computer Science Pub Date : 2023-12-13 DOI: 10.1145/3637222
Jaspinder Kaur, Shirshendu Das

Cache timing channel attacks exploit the inherent properties of cache memories: hit and miss time along with shared nature of cache to leak the secret information. The side channel and covert channel are the two well-known cache timing channel attacks. In this paper, we propose, Restricted Static Pseudo-Partitioning (RSPP), an effective partition based mitigation mechanisms that restricts the cache access of only the adversaries involved in the attack. It has an insignificant impact of only 1% in performance, as the benign process have access to full cache and restrictions are limited only to the suspicious processes and cache sets. It can be implemented with a maximum storage overhead of 1.45% of the total LLC size. This paper presents three variations of the proposed attack mitigation mechanism: RSPP, simplified-RSPP (S-RSPP) and core wise-RSPP (C-RSPP) with different hardware overheads. A full system simulator is used for evaluating the performance impact of RSPP. A detailed experimental analysis with different LLC and attack parameters is also discussed in the paper. RSPP is also compared with the existing defense mechanisms effective against cross-core covert channel attacks.

高速缓存定时信道攻击利用高速缓存的固有特性:命中和未命中时间以及高速缓存的共享性来泄露秘密信息。侧信道和隐蔽信道是两种著名的缓存定时信道攻击。在本文中,我们提出了一种基于分区的有效缓解机制--受限静态伪分区(RSPP),它只限制参与攻击的对手访问缓存。它对性能的影响微乎其微,仅为 1%,因为良性进程可以访问全部缓存,而限制只限于可疑进程和缓存集。它的最大存储开销为 LLC 总大小的 1.45%。本文提出了三种不同的攻击缓解机制:RSPP、简化-RSPP(S-RSPP)和核心明智-RSPP(C-RSPP),它们的硬件开销各不相同。全系统仿真器用于评估 RSPP 的性能影响。文中还讨论了不同 LLC 和攻击参数的详细实验分析。此外,还将 RSPP 与现有的有效抵御跨核隐蔽信道攻击的防御机制进行了比较。
{"title":"RSPP: Restricted Static Pseudo-Partitioning for Mitigation of Cross-Core Covert Channel Attacks","authors":"Jaspinder Kaur, Shirshendu Das","doi":"10.1145/3637222","DOIUrl":"https://doi.org/10.1145/3637222","url":null,"abstract":"<p>Cache timing channel attacks exploit the inherent properties of cache memories: hit and miss time along with shared nature of cache to leak the secret information. The side channel and covert channel are the two well-known cache timing channel attacks. In this paper, we propose, Restricted Static Pseudo-Partitioning (RSPP), an effective partition based mitigation mechanisms that restricts the cache access of only the adversaries involved in the attack. It has an insignificant impact of only 1% in performance, as the benign process have access to full cache and restrictions are limited only to the suspicious processes and cache sets. It can be implemented with a maximum storage overhead of 1.45% of the total LLC size. This paper presents three variations of the proposed attack mitigation mechanism: RSPP, simplified-RSPP (S-RSPP) and core wise-RSPP (C-RSPP) with different hardware overheads. A full system simulator is used for evaluating the performance impact of RSPP. A detailed experimental analysis with different LLC and attack parameters is also discussed in the paper. RSPP is also compared with the existing defense mechanisms effective against cross-core covert channel attacks.</p>","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":1.4,"publicationDate":"2023-12-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"138580225","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
GAN-Place: Advancing Open-Source Placers to Commercial-Quality using Generative Adversarial Networks and Transfer Learning GAN-Place:利用生成式对抗网络和迁移学习将开源拼版器提升至商业质量
IF 1.4 4区 计算机科学 Q2 Computer Science Pub Date : 2023-12-06 DOI: 10.1145/3636461
Yi-Chen Lu, Haoxing Ren, Hao-Hsiang Hsiao, Sung Kyu Lim

Recently, GPU-accelerated placers such as DREAMPlace and Xplace have demonstrated their superiority over traditional CPU-reliant placers by achieving orders of magnitude speed up in placement runtime. However, due to their limited focus in placement objectives (e.g., wirelength and density), the placement quality achieved by DREAMPlace or Xplace is not comparable to that of commercial tools. In this paper, to bridge the gap between open-source and commercial placers, we present a novel placement optimization framework named GAN-Place that employs generative adversarial learning to transfer the placement quality of the industry-leading commercial placer, Synopsys ICC2, to existing open-source GPU-accelerated placers (DREAMPlace and Xplace). Without the knowledge of the underlying proprietary algorithms or constraints used by the commercial tools, our framework facilitates transfer learning to directly enhance the open-source placers by optimizing the proposed differentiable loss that denotes the “similarity” between DREAMPlace- or Xplace-generated placements and those in commercial databases. Experimental results on 7 industrial designs not only show the our GAN-Place immediately improves the Power, Performance, and Area (PPA) metrics at the placement stage, but also demonstrate that these improvements last firmly to the post-route stage, where we observe improvements by up to 8.3% in wirelength, 7.4% in power, and 37.6% in Total Negative Slack (TNS) on a commercial CPU benchmark.

最近,DREAMPlace 和 Xplace 等 GPU 加速放置器已证明其优于传统的 CPU 依赖放置器,在放置运行时间上实现了数量级的加速。然而,由于 DREAMPlace 或 Xplace 对布局目标(如线长和密度)的关注有限,其实现的布局质量无法与商业工具相媲美。在本文中,为了缩小开源和商业贴片机之间的差距,我们提出了一个名为 GAN-Place 的新型贴片优化框架,该框架采用生成对抗学习,将业界领先的商业贴片机 Synopsys ICC2 的贴片质量转移到现有的开源 GPU 加速贴片机(DREAMPlace 和 Xplace)上。在不了解商业工具所使用的底层专有算法或约束条件的情况下,我们的框架促进了迁移学习,通过优化提议的可微分损失(表示 DREAMPlace 或 Xplace 生成的布局与商业数据库中的布局之间的 "相似性")来直接增强开源布局器。在 7 个工业设计上的实验结果表明,我们的 GAN-Place 不仅在布局阶段立即改善了功耗、性能和面积 (PPA) 指标,而且还证明了这些改善在布线后阶段也会持续下去,我们观察到在商业 CPU 基准上,布线长度改善了 8.3%,功耗改善了 7.4%,总负松弛 (TNS) 改善了 37.6%。
{"title":"GAN-Place: Advancing Open-Source Placers to Commercial-Quality using Generative Adversarial Networks and Transfer Learning","authors":"Yi-Chen Lu, Haoxing Ren, Hao-Hsiang Hsiao, Sung Kyu Lim","doi":"10.1145/3636461","DOIUrl":"https://doi.org/10.1145/3636461","url":null,"abstract":"<p>Recently, GPU-accelerated placers such as DREAMPlace and Xplace have demonstrated their superiority over traditional CPU-reliant placers by achieving orders of magnitude speed up in placement runtime. However, due to their limited focus in placement objectives (e.g., wirelength and density), the placement quality achieved by DREAMPlace or Xplace is not comparable to that of commercial tools. In this paper, to bridge the gap between open-source and commercial placers, we present a novel placement optimization framework named GAN-Place that employs generative adversarial learning to transfer the placement quality of the industry-leading commercial placer, Synopsys ICC2, to existing open-source GPU-accelerated placers (DREAMPlace and Xplace). Without the knowledge of the underlying proprietary algorithms or constraints used by the commercial tools, our framework facilitates transfer learning to directly enhance the open-source placers by optimizing the proposed differentiable loss that denotes the “similarity” between DREAMPlace- or Xplace-generated placements and those in commercial databases. Experimental results on 7 industrial designs not only show the our GAN-Place immediately improves the Power, Performance, and Area (PPA) metrics at the placement stage, but also demonstrate that these improvements last firmly to the post-route stage, where we observe improvements by up to 8.3% in wirelength, 7.4% in power, and 37.6% in Total Negative Slack (TNS) on a commercial CPU benchmark.</p>","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":1.4,"publicationDate":"2023-12-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"138554273","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SparGD: A Sparse GEMM Accelerator with Dynamic Dataflow SparGD:一个具有动态数据流的稀疏gem加速器
IF 1.4 4区 计算机科学 Q2 Computer Science Pub Date : 2023-11-27 DOI: 10.1145/3634703
Bo Wang, Sheng Ma, Shengbai Luo, Lizhou Wu, Jianmin Zhang, Chunyuan Zhang, Tiejun Li

Deep learning has become a highly popular research field, and previously deep learning algorithms ran primarily on CPUs and GPUs. However, with the rapid development of deep learning, it was discovered that existing processors could not meet the specific large-scale computing requirements of deep learning, and custom deep learning accelerators have become popular. The majority of the primary workloads in deep learning are general matrix-matrix multiplications (GEMM), and emerging GEMMs are highly sparse and irregular. The TPU and SIGMA are typical GEMM accelerators in recent years, but the TPU does not support sparsity, and both the TPU and SIGMA have insufficient utilization rates of the Processing Element (PE). We design and implement the SparGD, a sparse GEMM accelerator with dynamic dataflow. The SparGD has specific PE structures, flexible distribution networks and reduction networks, and a simple dataflow switching module. When running sparse and irregular GEMMs, the SparGD can maintain high PE utilization while utilizing sparsity, and can switch to the optimal dataflow according to the computing environment. For sparse, irregular GEMMs, our experimental results show that the SparGD outperforms systolic arrays by 30 times and SIGMA by 3.6 times.

深度学习已经成为一个非常受欢迎的研究领域,以前的深度学习算法主要在cpu和gpu上运行。深度学习中的大部分主要工作负载是一般矩阵-矩阵乘法(GEMM),新兴的GEMM是高度稀疏和不规则的。TPU和SIGMA是近年来典型的GEMM加速器,但TPU不支持稀疏性,TPU和SIGMA的PE (Processing Element)利用率都不足。我们设计并实现了SparGD,一个具有动态数据流的稀疏gem加速器。SparGD具有特定的PE结构,灵活的配电网和减网,以及简单的数据流交换模块。当运行稀疏和不规则的gem时,SparGD可以在利用稀疏性的同时保持较高的PE利用率,并可以根据计算环境切换到最优的数据流。对于稀疏、不规则的gem,我们的实验结果表明,SparGD比收缩阵列高30倍,SIGMA比收缩阵列高3.6倍。
{"title":"SparGD: A Sparse GEMM Accelerator with Dynamic Dataflow","authors":"Bo Wang, Sheng Ma, Shengbai Luo, Lizhou Wu, Jianmin Zhang, Chunyuan Zhang, Tiejun Li","doi":"10.1145/3634703","DOIUrl":"https://doi.org/10.1145/3634703","url":null,"abstract":"<p>Deep learning has become a highly popular research field, and previously deep learning algorithms ran primarily on CPUs and GPUs. However, with the rapid development of deep learning, it was discovered that existing processors could not meet the specific large-scale computing requirements of deep learning, and custom deep learning accelerators have become popular. The majority of the primary workloads in deep learning are general matrix-matrix multiplications (GEMM), and emerging GEMMs are highly sparse and irregular. The TPU and SIGMA are typical GEMM accelerators in recent years, but the TPU does not support sparsity, and both the TPU and SIGMA have insufficient utilization rates of the Processing Element (PE). We design and implement the SparGD, a sparse GEMM accelerator with dynamic dataflow. The SparGD has specific PE structures, flexible distribution networks and reduction networks, and a simple dataflow switching module. When running sparse and irregular GEMMs, the SparGD can maintain high PE utilization while utilizing sparsity, and can switch to the optimal dataflow according to the computing environment. For sparse, irregular GEMMs, our experimental results show that the SparGD outperforms systolic arrays by 30 times and SIGMA by 3.6 times.</p>","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":1.4,"publicationDate":"2023-11-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"138537677","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Scalable and Accelerated Self Healing Control Circuit using Evolvable Hardware 使用可进化硬件的可扩展和加速自愈控制电路
IF 1.4 4区 计算机科学 Q2 Computer Science Pub Date : 2023-11-24 DOI: 10.1145/3634682
Deepanjali.S, Noor Mahammad.Sk

Controllers are mission-critical components of any electronic design. By sending control signals, it decides which and when other data path elements must operate. Faults, especially Single Event Upset (SEU) occurrence in these components, can lead to functional/mission failure of the system when deployed in harsh environments. Hence, a competence to self-heal from SEU is highly required in the control path of the digital system. Reconfiguration is critical for recovering from a faulty state to a non-faulty state. Compared to native reconfiguration, the Virtual Reconfigurable Circuit (VRC) is an FPGA-generic reconfiguration mechanism. The non-partial reconfiguration in VRC and extensive architecture are considered hindrances in extending the VRC-based Evolvable Hardware (EHW) to real-time fault mitigation. To confront this challenge, we have proposed an intrinsic constrained evolution to improve the scalability and accelerate the evolution process for VRC-based fault mitigation in mission-critical applications. Experimentation is conducted on complex ACM/SIGDA benchmark circuits and real-time circuits used in space missions, which are not included in related works. In addition, a comparative study is made between existing and proposed methodologies for brushless DC motor control circuits. The hardware utilization in the multiplexer has been significantly reduced, resulting in up to 77% reduction in the existing VRC architecture. The proposed methodology employs a fault localization approach to narrow the search space effectively. This approach has yielded an 87% improvement on average in convergence speed, as measured by the evolution time compared to the existing work.

控制器是任何电子设计的关键组件。通过发送控制信号,它决定哪些和何时其他数据路径元素必须操作。在恶劣的环境中部署时,这些组件发生的故障,特别是单事件干扰(SEU),可能导致系统的功能/任务失败。因此,在数字系统的控制路径中,高度要求具有自愈能力。重新配置对于从故障状态恢复到非故障状态至关重要。与原生重构相比,虚拟重构电路(VRC)是一种fpga通用重构机制。VRC的非局部重构和庞大的体系结构阻碍了基于VRC的可进化硬件(Evolvable Hardware, EHW)向实时故障缓解的扩展。为了应对这一挑战,我们提出了一种内在约束进化,以提高可扩展性,并加速关键任务应用中基于vrc的故障缓解的进化过程。在未纳入相关工作的复杂ACM/SIGDA基准电路和空间任务实时电路上进行了实验。此外,对现有的无刷直流电动机控制电路的方法和提出的方法进行了比较研究。多路复用器的硬件利用率显著降低,使现有的VRC架构减少了77%。该方法采用故障定位方法,有效地缩小了搜索空间。与现有工作相比,该方法在收敛速度上平均提高了87%,这是通过进化时间来衡量的。
{"title":"Scalable and Accelerated Self Healing Control Circuit using Evolvable Hardware","authors":"Deepanjali.S, Noor Mahammad.Sk","doi":"10.1145/3634682","DOIUrl":"https://doi.org/10.1145/3634682","url":null,"abstract":"<p>Controllers are mission-critical components of any electronic design. By sending control signals, it decides which and when other data path elements must operate. Faults, especially Single Event Upset (SEU) occurrence in these components, can lead to functional/mission failure of the system when deployed in harsh environments. Hence, a competence to self-heal from SEU is highly required in the control path of the digital system. Reconfiguration is critical for recovering from a faulty state to a non-faulty state. Compared to native reconfiguration, the Virtual Reconfigurable Circuit (VRC) is an FPGA-generic reconfiguration mechanism. The non-partial reconfiguration in VRC and extensive architecture are considered hindrances in extending the VRC-based Evolvable Hardware (EHW) to real-time fault mitigation. To confront this challenge, we have proposed an intrinsic constrained evolution to improve the scalability and accelerate the evolution process for VRC-based fault mitigation in mission-critical applications. Experimentation is conducted on complex ACM/SIGDA benchmark circuits and real-time circuits used in space missions, which are not included in related works. In addition, a comparative study is made between existing and proposed methodologies for brushless DC motor control circuits. The hardware utilization in the multiplexer has been significantly reduced, resulting in up to 77% reduction in the existing VRC architecture. The proposed methodology employs a fault localization approach to narrow the search space effectively. This approach has yielded an 87% improvement on average in convergence speed, as measured by the evolution time compared to the existing work.</p>","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":1.4,"publicationDate":"2023-11-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"138537682","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Dynamic Adaptation Using Deep Reinforcement Learning for Digital Microfluidic Biochips 基于深度强化学习的数字微流控生物芯片动态自适应
IF 1.4 4区 计算机科学 Q2 Computer Science Pub Date : 2023-11-23 DOI: 10.1145/3633458
Tung-Che Liang, Yi-Chen Chang, Zhanwei Zhong, Yaas Bigdeli, Tsung-Yi Ho, Krishnendu Chakrabarty, Richard Fair

We describe an exciting new application domain for deep reinforcement learning (RL): droplet routing on digital microfluidic biochips (DMFBs). A DMFB consists of a two-dimensional electrode array, and it manipulates droplets of liquid to automatically execute biochemical protocols for clinical chemistry. However, a major problem with DMFBs is that electrodes can degrade over time. The transportation of droplet transportation over these degraded electrodes can fail, thereby adversely impacting the integrity of the bioassay outcome. We demonstrated that the fomulation of droplet transportation as an RL problem enables the training of deep neural network policies that can adapt to the underlying health conditions of electrodes and ensure reliable fluidic operations. We describe an RL-based droplet-routing solution that can be used for various sizes of DMFBs. We highlight the reliable execution of an epigenetic bioassay with the RL droplet router on a fabricated DMFB. We show that the use of the RL approach on a simple micro-computer (Raspberry Pi 4) leads to acceptable performance for time-critical bioassays. We present a simulation environment based on the OpenAI Gym Interface for RL-guided droplet routing problems on DMFBs. We present results on our study of electrode degradation using fabricated DMFBs. The study supports the degradation model used in the simulator.

我们描述了一个令人兴奋的深度强化学习(RL)的新应用领域:数字微流控生物芯片(dmfb)上的液滴路由。DMFB由一个二维电极阵列组成,它操纵液滴自动执行临床化学的生化协议。然而,dmfb的一个主要问题是电极会随着时间的推移而退化。液滴在这些降解电极上的运输可能会失败,从而对生物测定结果的完整性产生不利影响。我们证明了将液滴运输作为RL问题的制定可以训练深度神经网络策略,该策略可以适应电极的潜在健康状况并确保可靠的流体操作。我们描述了一种基于rl的液滴路由解决方案,可用于各种尺寸的dmfb。我们强调可靠的执行表观遗传生物测定与RL液滴路由器上制造的DMFB。我们表明,在简单的微型计算机(树莓派4)上使用RL方法可以为时间关键型生物测定提供可接受的性能。我们提出了一个基于OpenAI Gym Interface的dmfb上rl引导液滴路由问题的仿真环境。我们介绍了我们的研究结果电极降解使用制造的dmfb。该研究支持了模拟器中使用的退化模型。
{"title":"Dynamic Adaptation Using Deep Reinforcement Learning for Digital Microfluidic Biochips","authors":"Tung-Che Liang, Yi-Chen Chang, Zhanwei Zhong, Yaas Bigdeli, Tsung-Yi Ho, Krishnendu Chakrabarty, Richard Fair","doi":"10.1145/3633458","DOIUrl":"https://doi.org/10.1145/3633458","url":null,"abstract":"<p>We describe an exciting new application domain for deep reinforcement learning (RL): droplet routing on digital microfluidic biochips (DMFBs). A DMFB consists of a two-dimensional electrode array, and it manipulates droplets of liquid to automatically execute biochemical protocols for clinical chemistry. However, a major problem with DMFBs is that electrodes can degrade over time. The transportation of droplet transportation over these degraded electrodes can fail, thereby adversely impacting the integrity of the bioassay outcome. We demonstrated that the fomulation of droplet transportation as an RL problem enables the training of deep neural network policies that can adapt to the underlying health conditions of electrodes and ensure reliable fluidic operations. We describe an RL-based droplet-routing solution that can be used for various sizes of DMFBs. We highlight the reliable execution of an epigenetic bioassay with the RL droplet router on a fabricated DMFB. We show that the use of the RL approach on a simple micro-computer (Raspberry Pi 4) leads to acceptable performance for time-critical bioassays. We present a simulation environment based on the OpenAI Gym Interface for RL-guided droplet routing problems on DMFBs. We present results on our study of electrode degradation using fabricated DMFBs. The study supports the degradation model used in the simulator.</p>","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":1.4,"publicationDate":"2023-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"138537678","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
On-chip ESD Protection Design Methodologies by CAD Simulation 基于CAD仿真的片内ESD保护设计方法
IF 1.4 4区 计算机科学 Q2 Computer Science Pub Date : 2023-11-15 DOI: 10.1145/3593808
Zijin Pan, Xunyu Li, Weiquan Hao, Runyu Miao, Albert Wang

Electrostatic discharge (ESD) can cause malfunction or failure of integrated circuits (ICs). On-chip ESD protection design is a major IC design-for-reliability (DfR) challenge, particularly for complex chips made in advanced technology nodes. Traditional trial-and-error approaches become unacceptable to practical ESD protection designs for advanced ICs. Full-chip ESD protection circuit design optimization, prediction, and verification become essential to advanced chip designs, which highly depends on CAD algorithm and simulation that has been a constant research topic for decades. This paper reviews recent advances in CAD-enabled on-chip ESD protection circuit simulation design technologies and ESD-IC co-design methodologies. Key challenges of ESD CAD design practices are outlined. Practical ESD protection simulation design examples are discussed.

静电放电会引起集成电路的故障或失效。片内ESD保护设计是一个主要的IC可靠性设计(DfR)挑战,特别是对于在先进技术节点上制造的复杂芯片。传统的试错方法对于高级集成电路的实际ESD保护设计来说是不可接受的。全芯片ESD保护电路设计的优化、预测和验证成为先进芯片设计的关键,这在很大程度上依赖于CAD算法和仿真,这是几十年来不断研究的课题。本文综述了基于cad的片上ESD保护电路仿真设计技术和ESD- ic协同设计方法的最新进展。概述了ESD CAD设计实践的主要挑战。讨论了实际的ESD保护仿真设计实例。
{"title":"On-chip ESD Protection Design Methodologies by CAD Simulation","authors":"Zijin Pan, Xunyu Li, Weiquan Hao, Runyu Miao, Albert Wang","doi":"10.1145/3593808","DOIUrl":"https://doi.org/10.1145/3593808","url":null,"abstract":"<p><b>Electrostatic discharge (ESD)</b> can cause malfunction or failure of <b>integrated circuits (ICs)</b>. On-chip ESD protection design is a major IC <b>design-for-reliability (DfR)</b> challenge, particularly for complex chips made in advanced technology nodes. Traditional trial-and-error approaches become unacceptable to practical ESD protection designs for advanced ICs. Full-chip ESD protection circuit design optimization, prediction, and verification become essential to advanced chip designs, which highly depends on CAD algorithm and simulation that has been a constant research topic for decades. This paper reviews recent advances in CAD-enabled on-chip ESD protection circuit simulation design technologies and ESD-IC co-design methodologies. Key challenges of ESD CAD design practices are outlined. Practical ESD protection simulation design examples are discussed.</p>","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":1.4,"publicationDate":"2023-11-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"138543466","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Energy-Constrained Scheduling for Weakly Hard Real-Time Systems Using Standby-Sparing 弱硬实时系统的备用备用能量约束调度
4区 计算机科学 Q2 Computer Science Pub Date : 2023-11-14 DOI: 10.1145/3631587
Linwei Niu, Danda B. Rawat, Jonathan Musselwhite, Zonghua Gu, Qingxu Deng
For real-time embedded systems, QoS (Quality of Service), fault tolerance, and energy budget constraint are among the primary design concerns. In this research, we investigate the problem of energy constrained standby-sparing for both periodic and aperiodic tasks in a weakly hard real-time environment. The standby-sparing systems adopt a primary processor and a spare processor to provide fault tolerance for both permanent and transient faults. For such kind of systems, we firstly propose several novel standby-sparing schemes for the periodic tasks which can ensure the system feasibility under tighter energy budget constraint than the traditional ones. Then based on them integrated approachs for both periodic and aperiodic tasks are proposed to minimize the aperiodic response time whilst achieving better energy and QoS performance under the given energy budget constraint. The evaluation results demonstrated that the proposed techniques significantly outperformed the existing state of the art approaches in terms of feasibility and system performance while ensuring QoS and fault tolerance under the given energy budget constraint.
对于实时嵌入式系统,QoS(服务质量)、容错和能源预算约束是主要的设计关注点。在本研究中,我们研究了弱硬实时环境下周期性和非周期性任务的能量约束备用节省问题。备用备用系统采用一个主处理器和一个备用处理器来提供永久和短暂故障的容错能力。针对这类系统,我们首先提出了几种新的周期任务备用方案,以保证系统在更严格的能量预算约束下的可行性。在此基础上,提出了周期任务和非周期任务的集成方法,在给定能量预算约束下,最小化非周期响应时间,同时获得更好的能量和QoS性能。评估结果表明,在给定的能量预算约束下,所提出的技术在保证QoS和容错性的同时,在可行性和系统性能方面明显优于现有的最先进方法。
{"title":"Energy-Constrained Scheduling for Weakly Hard Real-Time Systems Using Standby-Sparing","authors":"Linwei Niu, Danda B. Rawat, Jonathan Musselwhite, Zonghua Gu, Qingxu Deng","doi":"10.1145/3631587","DOIUrl":"https://doi.org/10.1145/3631587","url":null,"abstract":"For real-time embedded systems, QoS (Quality of Service), fault tolerance, and energy budget constraint are among the primary design concerns. In this research, we investigate the problem of energy constrained standby-sparing for both periodic and aperiodic tasks in a weakly hard real-time environment. The standby-sparing systems adopt a primary processor and a spare processor to provide fault tolerance for both permanent and transient faults. For such kind of systems, we firstly propose several novel standby-sparing schemes for the periodic tasks which can ensure the system feasibility under tighter energy budget constraint than the traditional ones. Then based on them integrated approachs for both periodic and aperiodic tasks are proposed to minimize the aperiodic response time whilst achieving better energy and QoS performance under the given energy budget constraint. The evaluation results demonstrated that the proposed techniques significantly outperformed the existing state of the art approaches in terms of feasibility and system performance while ensuring QoS and fault tolerance under the given energy budget constraint.","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-11-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134991838","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An Efficient Reinforcement Learning Based Framework for Exploring Logic Synthesis 一种高效的基于强化学习的逻辑综合探索框架
4区 计算机科学 Q2 Computer Science Pub Date : 2023-11-10 DOI: 10.1145/3632174
Yu Qian, Xuegong Zhou, Hao Zhou, Lingli Wang
Logic synthesis is a crucial step in electronic design automation tools. The rapid developments of reinforcement learning (RL) have enabled the automated exploration of logic synthesis. Existing RL based methods may lead to data inefficiency, and the exploration approaches for FPGA and ASIC technology mapping in recent works lack the flexibility of the learning process. This work proposes ESE, a reinforcement learning based framework to efficiently learn the logic synthesis process. The framework supports the modeling of logic optimization and technology mapping for FPGA and ASIC. The optimization for the execution time of the synthesis script is also considered. For the modeling of FPGA mapping, the logic optimization and technology mapping are combined to be learned in a flexible way. For the modeling of ASIC mapping, the standard cell based optimization and LUT optimization operations are incorporated into the ASIC synthesis flow. To improve the utilization of samples, the Proximal Policy Optimization model is adopted. Furthermore, the framework is enhanced by supporting MIG based synthesis exploration. Experiments show that for FPGA technology mapping on the VTR benchmark, the average LUT-Level-Product and script runtime are improved by more than 18.3% and 12.4% respectively than previous works. For ASIC mapping on the EPFL benchmark, the average Area-Delay-Product is improved by 14.5%.
逻辑综合是电子设计自动化工具中至关重要的一步。强化学习(RL)的快速发展使逻辑综合的自动化探索成为可能。现有的基于RL的方法可能导致数据效率低下,并且最近研究的FPGA和ASIC技术映射的探索方法缺乏学习过程的灵活性。本工作提出了一种基于强化学习的框架ESE,以有效地学习逻辑综合过程。该框架支持FPGA和ASIC的逻辑优化建模和技术映射。还考虑了合成脚本执行时间的优化。对于FPGA映射的建模,将逻辑优化与技术映射相结合,以灵活的方式学习。对于ASIC映射的建模,将基于标准单元的优化和LUT优化操作纳入ASIC合成流程。为了提高样本利用率,采用了最近邻策略优化模型。此外,该框架通过支持基于MIG的合成探索得到增强。实验表明,对于FPGA技术在VTR基准上的映射,平均LUT-Level-Product和脚本运行时间分别比以前的工作提高了18.3%和12.4%以上。对于EPFL基准上的ASIC映射,平均面积延迟积提高了14.5%。
{"title":"An Efficient Reinforcement Learning Based Framework for Exploring Logic Synthesis","authors":"Yu Qian, Xuegong Zhou, Hao Zhou, Lingli Wang","doi":"10.1145/3632174","DOIUrl":"https://doi.org/10.1145/3632174","url":null,"abstract":"Logic synthesis is a crucial step in electronic design automation tools. The rapid developments of reinforcement learning (RL) have enabled the automated exploration of logic synthesis. Existing RL based methods may lead to data inefficiency, and the exploration approaches for FPGA and ASIC technology mapping in recent works lack the flexibility of the learning process. This work proposes ESE, a reinforcement learning based framework to efficiently learn the logic synthesis process. The framework supports the modeling of logic optimization and technology mapping for FPGA and ASIC. The optimization for the execution time of the synthesis script is also considered. For the modeling of FPGA mapping, the logic optimization and technology mapping are combined to be learned in a flexible way. For the modeling of ASIC mapping, the standard cell based optimization and LUT optimization operations are incorporated into the ASIC synthesis flow. To improve the utilization of samples, the Proximal Policy Optimization model is adopted. Furthermore, the framework is enhanced by supporting MIG based synthesis exploration. Experiments show that for FPGA technology mapping on the VTR benchmark, the average LUT-Level-Product and script runtime are improved by more than 18.3% and 12.4% respectively than previous works. For ASIC mapping on the EPFL benchmark, the average Area-Delay-Product is improved by 14.5%.","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-11-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135136662","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
F lip : Data-Centric Edge CGRA Accelerator F:以数据为中心的边缘CGRA加速器
4区 计算机科学 Q2 Computer Science Pub Date : 2023-11-03 DOI: 10.1145/3631118
Dan Wu, Peng Chen, Thilini Kaushalya Bandara, Zhaoying Li, Tulika Mitra
Coarse-Grained Reconfigurable Arrays (CGRA) are promising edge accelerators due to the outstanding balance in flexibility, performance, and energy efficiency. Classic CGRAs statically map compute operations onto the processing elements (PE) and route the data dependencies among the operations through the Network-on-Chip. However, CGRAs are designed for fine-grained static instruction-level parallelism and struggle to accelerate applications with dynamic and irregular data-level parallelism, such as graph processing. To address this limitation, we present Flip , a novel accelerator that enhances traditional CGRA architectures to boost the performance of graph applications. Flip retains the classic CGRA execution model while introducing a special data-centric mode for efficient graph processing. Specifically, it leverages the inherent data parallelism of graph algorithms by mapping graph vertices onto PEs rather than the operations, and supporting dynamic routing of temporary data according to the runtime evolution of the graph frontier. Experimental results demonstrate that Flip achieves up to 36 × speedup with merely 19% more area compared to classic CGRAs. Compared to state-of-the-art large-scale graph processors, Flip has similar energy efficiency and 2.2 × better area efficiency at a much-reduced power/area budget.
粗粒度可重构阵列(CGRA)由于在灵活性、性能和能效方面取得了很好的平衡,是一种很有前途的边缘加速器。经典的CGRAs将计算操作静态地映射到处理元素(PE)上,并通过片上网络(Network-on-Chip)在操作之间路由数据依赖关系。然而,CGRAs是为细粒度的静态指令级并行性而设计的,并且难以加速具有动态和不规则数据级并行性的应用程序,例如图处理。为了解决这一限制,我们提出了Flip,一种新型加速器,可以增强传统的CGRA架构,以提高图形应用程序的性能。Flip保留了经典的CGRA执行模型,同时引入了一种特殊的以数据为中心的高效图形处理模式。具体来说,它利用了图算法固有的数据并行性,通过将图顶点映射到pe而不是操作,并根据图边界的运行时演变支持临时数据的动态路由。实验结果表明,与传统的CGRAs相比,Flip实现了高达36倍的加速,仅增加了19%的面积。与最先进的大型图形处理器相比,Flip具有相似的能源效率和2.2倍的面积效率,功耗/面积预算大大降低。
{"title":"F <scp>lip</scp> : Data-Centric Edge CGRA Accelerator","authors":"Dan Wu, Peng Chen, Thilini Kaushalya Bandara, Zhaoying Li, Tulika Mitra","doi":"10.1145/3631118","DOIUrl":"https://doi.org/10.1145/3631118","url":null,"abstract":"Coarse-Grained Reconfigurable Arrays (CGRA) are promising edge accelerators due to the outstanding balance in flexibility, performance, and energy efficiency. Classic CGRAs statically map compute operations onto the processing elements (PE) and route the data dependencies among the operations through the Network-on-Chip. However, CGRAs are designed for fine-grained static instruction-level parallelism and struggle to accelerate applications with dynamic and irregular data-level parallelism, such as graph processing. To address this limitation, we present Flip , a novel accelerator that enhances traditional CGRA architectures to boost the performance of graph applications. Flip retains the classic CGRA execution model while introducing a special data-centric mode for efficient graph processing. Specifically, it leverages the inherent data parallelism of graph algorithms by mapping graph vertices onto PEs rather than the operations, and supporting dynamic routing of temporary data according to the runtime evolution of the graph frontier. Experimental results demonstrate that Flip achieves up to 36 × speedup with merely 19% more area compared to classic CGRAs. Compared to state-of-the-art large-scale graph processors, Flip has similar energy efficiency and 2.2 × better area efficiency at a much-reduced power/area budget.","PeriodicalId":50944,"journal":{"name":"ACM Transactions on Design Automation of Electronic Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-11-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135818707","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
ACM Transactions on Design Automation of Electronic Systems
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1