首页 > 最新文献

International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.最新文献

英文 中文
Power-performance trade-offs for reconfigurable computing 可重构计算的功率性能权衡
Juanjo Noguera, R. Badia
We explore the system-level power-performance trade-offs available when implementing streaming embedded applications on fine-grained reconfigurable architectures. We show that an efficient hardware-software partitioning algorithm is required when targeting low-power. However, if the application objective is performance, then we propose the use of dynamically reconfigurable architectures. This work presents a configuration-aware data size partitioning approach. We propose a design methodology that adapts the architecture and used algorithms to the application requirements. The methodology has been proven to work on a real research platform based on Xilinx devices. Finally, we have applied our methodology and algorithms to the case study of image sharpening, which is required nowadays in digital cameras and mobile phones.
我们将探讨在细粒度可重构架构上实现流嵌入式应用程序时可用的系统级功率性能权衡。我们表明,当目标是低功耗时,需要有效的硬件软件分区算法。然而,如果应用程序的目标是性能,那么我们建议使用动态可重构的体系结构。这项工作提出了一种配置感知的数据大小分区方法。我们提出了一种设计方法,使架构和使用的算法适应应用程序的需求。该方法已在基于赛灵思设备的实际研究平台上得到验证。最后,我们将我们的方法和算法应用于图像锐化的案例研究,这是当今数码相机和手机所需要的。
{"title":"Power-performance trade-offs for reconfigurable computing","authors":"Juanjo Noguera, R. Badia","doi":"10.1109/CODES+ISSS.2004.49","DOIUrl":"https://doi.org/10.1109/CODES+ISSS.2004.49","url":null,"abstract":"We explore the system-level power-performance trade-offs available when implementing streaming embedded applications on fine-grained reconfigurable architectures. We show that an efficient hardware-software partitioning algorithm is required when targeting low-power. However, if the application objective is performance, then we propose the use of dynamically reconfigurable architectures. This work presents a configuration-aware data size partitioning approach. We propose a design methodology that adapts the architecture and used algorithms to the application requirements. The methodology has been proven to work on a real research platform based on Xilinx devices. Finally, we have applied our methodology and algorithms to the case study of image sharpening, which is required nowadays in digital cameras and mobile phones.","PeriodicalId":127038,"journal":{"name":"International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116219868","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Low energy security optimization in embedded cryptographic systems 嵌入式密码系统的低能耗安全优化
C. Gebotys
Future embedded and wireless devices are increasingly powerful supporting many applications including one of the most crucial; security. Although many wireless and embedded devices offer more resistance to bus probing attacks due to their compact size, susceptibility to power/electromagnetic attacks must be analyzed. This work presents optimized synthesis of new low energy masking countermeasures into cryptographic software. In particular a model for key masking with the objective of minimizing energy overhead is presented. Experimental results using real power measurements are shown to support up to 2.5 energy overhead savings and improved security compared to previous research. With the emergence of security applications in PDAs, cell phones, line card accelerators, etc, optimizing low energy countermeasures for resistance to power/ electromagnetic attacks is crucial for supporting future secure embedded devices.
未来的嵌入式和无线设备将越来越强大,支持许多应用,包括最重要的应用之一;安全。尽管许多无线和嵌入式设备由于其紧凑的尺寸而对总线探测攻击提供了更多的抵抗,但必须分析对电源/电磁攻击的易感性。本文提出了在密码软件中优化合成新型低能量掩蔽对策的方法。特别提出了一种以能量开销最小为目标的键掩模模型。使用实际功率测量的实验结果显示,与以前的研究相比,支持高达2.5的能源开销节约和提高安全性。随着pda、手机、线卡加速器等安全应用的出现,优化低能耗对策以抵抗电源/电磁攻击对于支持未来安全的嵌入式设备至关重要。
{"title":"Low energy security optimization in embedded cryptographic systems","authors":"C. Gebotys","doi":"10.1109/CODES+ISSS.2004.38","DOIUrl":"https://doi.org/10.1109/CODES+ISSS.2004.38","url":null,"abstract":"Future embedded and wireless devices are increasingly powerful supporting many applications including one of the most crucial; security. Although many wireless and embedded devices offer more resistance to bus probing attacks due to their compact size, susceptibility to power/electromagnetic attacks must be analyzed. This work presents optimized synthesis of new low energy masking countermeasures into cryptographic software. In particular a model for key masking with the objective of minimizing energy overhead is presented. Experimental results using real power measurements are shown to support up to 2.5 energy overhead savings and improved security compared to previous research. With the emergence of security applications in PDAs, cell phones, line card accelerators, etc, optimizing low energy countermeasures for resistance to power/ electromagnetic attacks is crucial for supporting future secure embedded devices.","PeriodicalId":127038,"journal":{"name":"International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126682985","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Automatic synthesis of system on chip multiprocessor architectures for process networks 用于过程网络的片上多处理器体系结构的自动综合
B. Dwivedi, Anshul Kumar, M. Balakrishnan
We present an approach for automatic synthesis of system on chip (SoC) multiprocessor architectures for applications expressed as process networks. Our approach is targeted towards design space exploration (DSE) and thus the speed of synthesis is of critical interest. The focus here is on the problem of resource allocation and binding with a view to optimize cost under performance constraints. Our approach exploits adjacency relation of processes and uses a dynamic programming based algorithm to synthesize the architecture including interconnection network. We have done a number of experiments on real as well as randomly generated process networks. The results have been compared with an optimal MILP formulation. They conclusively show that this approach is fast as well as effective and can be employed for DSE.
我们提出了一种自动合成片上系统(SoC)多处理器架构的方法,用于表示为过程网络的应用。我们的方法是针对设计空间探索(DSE),因此合成的速度是至关重要的。这里的重点是资源分配和绑定问题,以便在性能约束下优化成本。该方法利用进程间的邻接关系,采用基于动态规划的算法综合包括互联网络在内的体系结构。我们已经在真实的和随机生成的过程网络上做了大量的实验。并与最佳MILP配方进行了比较。他们最终表明,该方法快速有效,可以用于DSE。
{"title":"Automatic synthesis of system on chip multiprocessor architectures for process networks","authors":"B. Dwivedi, Anshul Kumar, M. Balakrishnan","doi":"10.1109/CODES+ISSS.2004.8","DOIUrl":"https://doi.org/10.1109/CODES+ISSS.2004.8","url":null,"abstract":"We present an approach for automatic synthesis of system on chip (SoC) multiprocessor architectures for applications expressed as process networks. Our approach is targeted towards design space exploration (DSE) and thus the speed of synthesis is of critical interest. The focus here is on the problem of resource allocation and binding with a view to optimize cost under performance constraints. Our approach exploits adjacency relation of processes and uses a dynamic programming based algorithm to synthesize the architecture including interconnection network. We have done a number of experiments on real as well as randomly generated process networks. The results have been compared with an optimal MILP formulation. They conclusively show that this approach is fast as well as effective and can be employed for DSE.","PeriodicalId":127038,"journal":{"name":"International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134104752","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A loop accelerator for low power embedded VLIW processors 用于低功耗嵌入式VLIW处理器的环路加速器
B. Mathew, A. Davis
The high transistor density afforded by modern VLSI processes has enabled the design of embedded processors that use clustered execution units to deliver high levels of performance. However, delivering data to the execution resources in a timely manner remains a major problem that limits ILP. It is particularly significant for embedded systems where memory and power budgets are limited. A distributed address generation and loop acceleration architecture for VLIW processors is presented. This decentralized on-chip memory architecture uses multiple SRAMs to provide high intra-processor bandwidth. Each SRAM has an associated stream address generator capable of implementing a variety of addressing modes in conjunction with a shared loop accelerator. The architecture is extremely useful for generating application specific embedded processors, particularly for processing input data which is organized as a stream. The idea is evaluated in the context of a fine grain VLIW architecture executing complex perception algorithms such as speech and visual feature recognition. Transistor level Spice simulations are used to demonstrate a 159x improvement in the energy delay product when compared to conventional architectures executing the same applications.
现代VLSI工艺所提供的高晶体管密度使得使用集群执行单元的嵌入式处理器的设计能够提供高水平的性能。然而,及时地向执行资源交付数据仍然是限制ILP的主要问题。这对于内存和功耗预算有限的嵌入式系统尤其重要。提出了一种用于VLIW处理器的分布式地址生成和循环加速体系结构。这种分散的片上存储器架构使用多个sram来提供高处理器内带宽。每个SRAM都有一个相关联的流地址生成器,能够与共享环路加速器一起实现各种寻址模式。该体系结构对于生成特定于应用程序的嵌入式处理器非常有用,特别是对于处理作为流组织的输入数据。该想法在执行复杂感知算法(如语音和视觉特征识别)的细粒度VLIW架构的背景下进行了评估。晶体管级Spice模拟用于证明与执行相同应用的传统架构相比,能量延迟产品提高了159倍。
{"title":"A loop accelerator for low power embedded VLIW processors","authors":"B. Mathew, A. Davis","doi":"10.1109/CODES+ISSS.2004.1","DOIUrl":"https://doi.org/10.1109/CODES+ISSS.2004.1","url":null,"abstract":"The high transistor density afforded by modern VLSI processes has enabled the design of embedded processors that use clustered execution units to deliver high levels of performance. However, delivering data to the execution resources in a timely manner remains a major problem that limits ILP. It is particularly significant for embedded systems where memory and power budgets are limited. A distributed address generation and loop acceleration architecture for VLIW processors is presented. This decentralized on-chip memory architecture uses multiple SRAMs to provide high intra-processor bandwidth. Each SRAM has an associated stream address generator capable of implementing a variety of addressing modes in conjunction with a shared loop accelerator. The architecture is extremely useful for generating application specific embedded processors, particularly for processing input data which is organized as a stream. The idea is evaluated in the context of a fine grain VLIW architecture executing complex perception algorithms such as speech and visual feature recognition. Transistor level Spice simulations are used to demonstrate a 159x improvement in the energy delay product when compared to conventional architectures executing the same applications.","PeriodicalId":127038,"journal":{"name":"International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122810040","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Exploiting polymorphism in HW design: a case study in the ATM domain 在硬件设计中利用多态性:ATM领域的案例研究
L. Pomante
The need of raising the level of abstraction and improving reuse in HW design suggests the adoption of an object-oriented (OO) design methodology based on SystemC-Plus (i.e. an enhanced SystemC). Such a methodology, developed during the ODETTE IST project, allows the exploitation of the key features of the OO paradigm (i.e. information hiding, inheritance, and polymorphism) at the behavioral level of description while guaranteeing synthesizability. In this context, the goal of This work is to highlight advantages and drawbacks derived from the exploitation of polymorphism in the design of an ATM component: the UTOPIA cells handler.
在硬件设计中,由于需要提高抽象层次和改进重用,建议采用基于SystemC- plus(即增强的SystemC)的面向对象(OO)设计方法。在ODETTE IST项目期间开发的这种方法,允许在描述的行为级别上利用OO范式的关键特性(即信息隐藏、继承和多态性),同时保证可合成性。在这种情况下,本工作的目标是强调在ATM组件(乌托邦单元处理程序)的设计中利用多态性所产生的优点和缺点。
{"title":"Exploiting polymorphism in HW design: a case study in the ATM domain","authors":"L. Pomante","doi":"10.1109/CODES+ISSS.2004.26","DOIUrl":"https://doi.org/10.1109/CODES+ISSS.2004.26","url":null,"abstract":"The need of raising the level of abstraction and improving reuse in HW design suggests the adoption of an object-oriented (OO) design methodology based on SystemC-Plus (i.e. an enhanced SystemC). Such a methodology, developed during the ODETTE IST project, allows the exploitation of the key features of the OO paradigm (i.e. information hiding, inheritance, and polymorphism) at the behavioral level of description while guaranteeing synthesizability. In this context, the goal of This work is to highlight advantages and drawbacks derived from the exploitation of polymorphism in the design of an ATM component: the UTOPIA cells handler.","PeriodicalId":127038,"journal":{"name":"International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121750667","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Parallel programming models for a multi-processor SoC platform applied to high-speed traffic management 应用于高速交通管理的多处理器SoC平台并行编程模型
P. Paulin, Chuck Pilkington, M. Langevin, E. Bensoudane, G. Nicolescu
We describe the MultiFlex multi-processor SoC programming environment, with the focus on two programming models: a distributed system object component (DSOC) message passing model, and a symmetrical multi-processing (SMP) model using shared memory. The MultiFlex tools map these models onto the StepNP multi-processor SoC platform, while making use of hardware accelerators for message passing and task scheduling. We present the results of mapping an Internet traffic management application, running at 2.5 Gb/s.
我们描述了MultiFlex多处理器SoC编程环境,重点介绍了两种编程模型:分布式系统对象组件(DSOC)消息传递模型和使用共享内存的对称多处理(SMP)模型。MultiFlex工具将这些模型映射到StepNP多处理器SoC平台上,同时利用硬件加速器进行消息传递和任务调度。我们展示了一个运行速度为2.5 Gb/s的互联网流量管理应用程序的映射结果。
{"title":"Parallel programming models for a multi-processor SoC platform applied to high-speed traffic management","authors":"P. Paulin, Chuck Pilkington, M. Langevin, E. Bensoudane, G. Nicolescu","doi":"10.1109/CODES+ISSS.2004.46","DOIUrl":"https://doi.org/10.1109/CODES+ISSS.2004.46","url":null,"abstract":"We describe the MultiFlex multi-processor SoC programming environment, with the focus on two programming models: a distributed system object component (DSOC) message passing model, and a symmetrical multi-processing (SMP) model using shared memory. The MultiFlex tools map these models onto the StepNP multi-processor SoC platform, while making use of hardware accelerators for message passing and task scheduling. We present the results of mapping an Internet traffic management application, running at 2.5 Gb/s.","PeriodicalId":127038,"journal":{"name":"International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117268205","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1