首页 > 最新文献

Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)最新文献

英文 中文
Modeling magnetic coupling for on-chip interconnect 片上互连磁耦合建模
M. Beattie, L. Pileggi
As advances in IC technologies and operating frequencies make the modeling of on-chip magnetic interactions a necessity, it is apparent that extension of traditional inductance extraction approaches to full-chip scale problems is impractical. There are primarily two obstacles to performing inductance extraction with the same efficacy as full-chip capacitance extraction: (1) neglecting far-away coupling terms can generate an unstable inductance matrix approximation; and (2) the penetrating nature of inductance makes localized extraction via windowing extremely difficult. In this paper we propose and contrast three new options for stable and accurate window-based extraction of large-scale magnetic coupling. We analyze the required window sizes to consider the possibilities for pattern-matching style solutions, and propose three schemes for determining coupling values and window sizing for extraction via on-the-fly field solution.
随着集成电路技术和工作频率的进步,芯片上磁相互作用的建模成为必要,很明显,将传统的电感提取方法扩展到全芯片规模问题是不切实际的。要想实现与全芯片电容提取效果相同的电感提取,主要存在两个障碍:(1)忽略远端耦合项会产生不稳定的电感矩阵近似;(2)电感的穿透性使得通过开窗进行局部提取极为困难。本文提出并对比了三种稳定、精确的基于窗口的大尺度磁耦合提取方法。我们分析了所需的窗口大小,以考虑模式匹配风格解决方案的可能性,并提出了三种方案来确定耦合值和窗口大小,以便通过实时现场解决方案进行提取。
{"title":"Modeling magnetic coupling for on-chip interconnect","authors":"M. Beattie, L. Pileggi","doi":"10.1145/378239.378504","DOIUrl":"https://doi.org/10.1145/378239.378504","url":null,"abstract":"As advances in IC technologies and operating frequencies make the modeling of on-chip magnetic interactions a necessity, it is apparent that extension of traditional inductance extraction approaches to full-chip scale problems is impractical. There are primarily two obstacles to performing inductance extraction with the same efficacy as full-chip capacitance extraction: (1) neglecting far-away coupling terms can generate an unstable inductance matrix approximation; and (2) the penetrating nature of inductance makes localized extraction via windowing extremely difficult. In this paper we propose and contrast three new options for stable and accurate window-based extraction of large-scale magnetic coupling. We analyze the required window sizes to consider the possibilities for pattern-matching style solutions, and propose three schemes for determining coupling values and window sizing for extraction via on-the-fly field solution.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"84 2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127980481","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 36
Dependency preserving probabilistic modeling of switching activity using Bayesian networks 基于贝叶斯网络的交换活动的依赖保持概率建模
S. Bhanja, N. Ranganathan
We propose a new switching probability model for combinational circuits using a logic-induced-directed-acyclic-graph (LIDBG) and prove that such a graph corresponds to a Bayesian network guaranteed to map all the dependencies inherent in the circuit. This switching activity can be estimated by capturing complex dependencies (spatiotemporal and conditional) among signals efficiently by local message-passing based on the Bayesian networks. Switching activity estimation of ISCAS and MCNC circuits with random input streams yield high accuracy (average mean error=0.002) and low computational time (average time=3.93 seconds).
我们利用逻辑诱导有向无环图(LIDBG)提出了一种新的组合电路切换概率模型,并证明了这种图对应于保证映射电路中所有固有依赖关系的贝叶斯网络。这种交换活动可以通过基于贝叶斯网络的本地消息传递有效地捕获信号之间的复杂依赖关系(时空和条件)来估计。随机输入流的ISCAS和MCNC电路的开关活动估计精度高(平均误差=0.002),计算时间短(平均时间=3.93秒)。
{"title":"Dependency preserving probabilistic modeling of switching activity using Bayesian networks","authors":"S. Bhanja, N. Ranganathan","doi":"10.1145/378239.378465","DOIUrl":"https://doi.org/10.1145/378239.378465","url":null,"abstract":"We propose a new switching probability model for combinational circuits using a logic-induced-directed-acyclic-graph (LIDBG) and prove that such a graph corresponds to a Bayesian network guaranteed to map all the dependencies inherent in the circuit. This switching activity can be estimated by capturing complex dependencies (spatiotemporal and conditional) among signals efficiently by local message-passing based on the Bayesian networks. Switching activity estimation of ISCAS and MCNC circuits with random input streams yield high accuracy (average mean error=0.002) and low computational time (average time=3.93 seconds).","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"50 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124321271","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
A new verification methodology for complex pipeline behavior 一种新的复杂管道行为验证方法
K. Kohno, Nobu Matsumoto
A new test program generation tool, MVpGen, is developed for verifying pipeline design of microprocessors. The only inputs MVpGen requires are pipeline-behavior specifications; it automatically generates test cases at first from pipeline-behavior specifications and then automatically generates test programs corresponding to the test cases. Test programs for verifying complex pipeline behavior such as hazard and branch or hazard and exception, are generated. mVpGen has been integrated into a verification system for verifying RTL descriptions of a real microprocessor design and complex bugs that remained hidden in the RTL descriptions are detected.
开发了一种新的测试程序生成工具MVpGen,用于验证微处理器的流水线设计。MVpGen需要的唯一输入是管道行为规范;它首先从管道行为规范中自动生成测试用例,然后自动生成与测试用例相对应的测试程序。生成用于验证复杂管道行为(如危险和分支或危险和异常)的测试程序。mVpGen已集成到验证系统中,用于验证真实微处理器设计的RTL描述,并检测到隐藏在RTL描述中的复杂错误。
{"title":"A new verification methodology for complex pipeline behavior","authors":"K. Kohno, Nobu Matsumoto","doi":"10.1145/378239.379072","DOIUrl":"https://doi.org/10.1145/378239.379072","url":null,"abstract":"A new test program generation tool, MVpGen, is developed for verifying pipeline design of microprocessors. The only inputs MVpGen requires are pipeline-behavior specifications; it automatically generates test cases at first from pipeline-behavior specifications and then automatically generates test programs corresponding to the test cases. Test programs for verifying complex pipeline behavior such as hazard and branch or hazard and exception, are generated. mVpGen has been integrated into a verification system for verifying RTL descriptions of a real microprocessor design and complex bugs that remained hidden in the RTL descriptions are detected.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"52 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121042638","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
Hypermedia-aided design Hypermedia-aided设计
D. Kirovski, M. Drinic, M. Potkonjak
Recently, the Internet has revolutionized many activities from entertainment to marketing and business. Two key underlying Internet technologies, efficient data delivery and hypertext, demonstrated exceptional potential as new application enablers. In this paper, we present a novel Hypermedia-Aided Design (HAD) collaboration framework that facilitates new communication and data presentation paradigms to improve the effectiveness of typical EDA applications. The framework leverages on the advantages of using semantic multicast as a communication backbone and quantized hypermedia presentations as an efficient data organization, retrieval, and presentation model. Semantic multicast is a global communication tool that relies on an internetwork of proxies to provide content discovery and semantics-based profile-driven data dissemination services. We introduce the notion of a quant, an atomic interactive multimedia information primitive with embedded hyperlinks. We demonstrate how interest-specific quant retrieval and concatenation can enable more focused collaboration. The HAD framework consists of a set of applications for student (designer)-centered CAD education (consulting), collaborative design and debugging, I-commerce, and technical support. In all applications, quant-based presentations enable that theoretical and practical components are tailored according to user's interest and performances. The conceptualized and implemented applications act in synergy with existing software, hardware, and system design tools.
最近,互联网已经彻底改变了从娱乐到营销和商业的许多活动。两项关键的互联网基础技术,高效数据传输和超文本,作为新应用程序的推动者显示出了非凡的潜力。在本文中,我们提出了一种新的超媒体辅助设计(HAD)协作框架,它促进了新的通信和数据表示范式,以提高典型EDA应用程序的有效性。该框架利用了使用语义组播作为通信骨干和使用量化超媒体表示作为有效的数据组织、检索和表示模型的优点。语义组播是一种全球通信工具,它依赖于代理网络提供内容发现和基于语义的概要驱动的数据传播服务。我们引入了量子的概念,这是一种带有嵌入超链接的原子交互多媒体信息原语。我们将演示特定兴趣的定量检索和连接如何能够实现更集中的协作。HAD框架由一组以学生(设计师)为中心的CAD教育(咨询)、协作设计和调试、I-commerce和技术支持的应用程序组成。在所有应用程序中,基于量化的演示使理论和实践组件能够根据用户的兴趣和性能进行定制。概念化和实现的应用程序与现有的软件、硬件和系统设计工具协同工作。
{"title":"Hypermedia-aided design","authors":"D. Kirovski, M. Drinic, M. Potkonjak","doi":"10.1145/378239.378536","DOIUrl":"https://doi.org/10.1145/378239.378536","url":null,"abstract":"Recently, the Internet has revolutionized many activities from entertainment to marketing and business. Two key underlying Internet technologies, efficient data delivery and hypertext, demonstrated exceptional potential as new application enablers. In this paper, we present a novel Hypermedia-Aided Design (HAD) collaboration framework that facilitates new communication and data presentation paradigms to improve the effectiveness of typical EDA applications. The framework leverages on the advantages of using semantic multicast as a communication backbone and quantized hypermedia presentations as an efficient data organization, retrieval, and presentation model. Semantic multicast is a global communication tool that relies on an internetwork of proxies to provide content discovery and semantics-based profile-driven data dissemination services. We introduce the notion of a quant, an atomic interactive multimedia information primitive with embedded hyperlinks. We demonstrate how interest-specific quant retrieval and concatenation can enable more focused collaboration. The HAD framework consists of a set of applications for student (designer)-centered CAD education (consulting), collaborative design and debugging, I-commerce, and technical support. In all applications, quant-based presentations enable that theoretical and practical components are tailored according to user's interest and performances. The conceptualized and implemented applications act in synergy with existing software, hardware, and system design tools.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"115 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122640898","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Adoption of OPC and the impact on design and layout OPC的采用及其对设计和布局的影响
F. Schellenberg, L. Capodieci, B. Socha
With the adoption of various combinations of resolution enhancement techniques (RET) for IC lithography, different process constraints are placed on the IC layout. The final layout used for mask production is dramatically different to the original designer's intent. To insure that EDA tools developed for applying RET techniques can have optimal performance, layout methodology must change to create a true "target" layer that represents the actual design intent. Verification of the final layout is then expanded from LVS and DRC to also include lithography process simulation, which compares results to this desired "target" and governs the application of RET.
随着分辨率增强技术(RET)在集成电路光刻中的各种组合的采用,对集成电路布局施加了不同的工艺约束。面具制作的最终布局与原设计师的意图有很大不同。为了确保为应用RET技术而开发的EDA工具具有最佳性能,布局方法必须更改以创建代表实际设计意图的真正“目标”层。然后将最终布局的验证从LVS和DRC扩展到还包括光刻过程模拟,将结果与期望的“目标”进行比较,并管理RET的应用。
{"title":"Adoption of OPC and the impact on design and layout","authors":"F. Schellenberg, L. Capodieci, B. Socha","doi":"10.1145/378239.378343","DOIUrl":"https://doi.org/10.1145/378239.378343","url":null,"abstract":"With the adoption of various combinations of resolution enhancement techniques (RET) for IC lithography, different process constraints are placed on the IC layout. The final layout used for mask production is dramatically different to the original designer's intent. To insure that EDA tools developed for applying RET techniques can have optimal performance, layout methodology must change to create a true \"target\" layer that represents the actual design intent. Verification of the final layout is then expanded from LVS and DRC to also include lithography process simulation, which compares results to this desired \"target\" and governs the application of RET.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115934524","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
SoC integration of reusable baseband Bluetooth IP SoC集成可重用基带蓝牙IP
Torbjörn Grahm, B. Clark
This presentation will give a list of design criteria an ASIC design house needs to look at in the process of deciding to take the complex Bluetooth specification and implement everything from scratch or to integrate reusable intellectual property for integration into their SoC. The presentation also include experience from a typical embedded development project where reusable Bluetooth baseband intellectual property both for HW and SW is used with the Bluetooth technology from Ericsson as an example. This paper is a compressed summary.
本演讲将列出ASIC设计公司在决定采用复杂的蓝牙规范并从头开始实现所有内容或将可重用的知识产权集成到其SoC中时需要考虑的设计标准列表。该演示还包括来自一个典型嵌入式开发项目的经验,该项目将可重用的蓝牙基带知识产权用于硬件和软件,并以爱立信的蓝牙技术为例。这篇论文是一篇压缩摘要。
{"title":"SoC integration of reusable baseband Bluetooth IP","authors":"Torbjörn Grahm, B. Clark","doi":"10.1145/378239.378478","DOIUrl":"https://doi.org/10.1145/378239.378478","url":null,"abstract":"This presentation will give a list of design criteria an ASIC design house needs to look at in the process of deciding to take the complex Bluetooth specification and implement everything from scratch or to integrate reusable intellectual property for integration into their SoC. The presentation also include experience from a typical embedded development project where reusable Bluetooth baseband intellectual property both for HW and SW is used with the Bluetooth technology from Ericsson as an example. This paper is a compressed summary.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"19 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116824292","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Digital filter synthesis based on minimal signed digit representation 基于最小符号数字表示的数字滤波器合成
Pub Date : 2001-06-22 DOI: 10.1109/DAC.2001.156185
I. Park, Hyeong-Ju Kang
As the complexity of digital filters is dominated by the number of multiplications, many works have focused on minimizing the complexity of multiplier blocks that compute the constant coefficient multiplications required in filters. The complexity of multiplier blocks can be significantly reduced by using an efficient number system. Although the canonical signed digit representation is commonly used as it guarantees the minimal number of additions for a constant multiplication, we propose in this paper a digital filter synthesis algorithm that is based on the minimal signed digit (MSD) representation. The MSD representation is attractive because it provides a number of forms that have the minimal number of non-zero digits for a constant. This redundancy can lead to efficient filters if a proper MSD representation is selected for each constant. In experimental results, the proposed algorithm resulted in superior filters to those generated from the CSD representation.
由于数字滤波器的复杂度主要由乘法次数决定,因此许多工作都集中在最小化计算滤波器中所需的常系数乘法的乘法器块的复杂度上。使用高效的数字系统可以显著降低乘法器块的复杂性。尽管规范符号数表示通常用于保证常数乘法的最小加法数,但本文提出了一种基于最小符号数(MSD)表示的数字滤波器合成算法。MSD表示很有吸引力,因为它提供了许多形式,这些形式具有常量的最小非零位数。如果为每个常数选择适当的MSD表示,这种冗余可以导致有效的过滤器。实验结果表明,该算法的滤波效果优于基于CSD表示的滤波效果。
{"title":"Digital filter synthesis based on minimal signed digit representation","authors":"I. Park, Hyeong-Ju Kang","doi":"10.1109/DAC.2001.156185","DOIUrl":"https://doi.org/10.1109/DAC.2001.156185","url":null,"abstract":"As the complexity of digital filters is dominated by the number of multiplications, many works have focused on minimizing the complexity of multiplier blocks that compute the constant coefficient multiplications required in filters. The complexity of multiplier blocks can be significantly reduced by using an efficient number system. Although the canonical signed digit representation is commonly used as it guarantees the minimal number of additions for a constant multiplication, we propose in this paper a digital filter synthesis algorithm that is based on the minimal signed digit (MSD) representation. The MSD representation is attractive because it provides a number of forms that have the minimal number of non-zero digits for a constant. This redundancy can lead to efficient filters if a proper MSD representation is selected for each constant. In experimental results, the proposed algorithm resulted in superior filters to those generated from the CSD representation.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"69 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123213636","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 137
Instruction-level DfT for testing processor and IP cores in system-on-a-chip 用于测试片上系统中处理器和IP核的指令级DfT
Pub Date : 2001-06-22 DOI: 10.1109/DAC.2001.156108
Wei-Cheng Lai, K. Cheng
Self-testing manufacturing defects in a system-on-a-chip (SOC) by running test programs using a programmable core has several potential benefits including, at-speed testing, low DfT overhead due to elimination of dedicated test circuitry and better power and thermal management during testing, However, such a self-test strategy might require a lengthy test program and might not achieve a high enough fault coverage. We propose a DfT methodology to improve the fault coverage and reduce the test program length, by adding test instructions to an on-chip programmable core such as a microprocessor core. This paper discusses a method of identifying effective test instructions which could result in highest benefits with low area/performance overhead. The experimental results show that with the added test instructions, a complete fault coverage for testable path delay faults can be achieved with a greater than 20% reduction in the program size and the program runtime, as compared to the case without instruction-level DfT.
通过使用可编程核心运行测试程序,对片上系统(SOC)中的制造缺陷进行自测,有几个潜在的好处,包括高速测试、由于消除了专用测试电路而降低的DfT开销以及在测试过程中更好的电源和热管理。然而,这种自测策略可能需要冗长的测试程序,并且可能无法实现足够高的故障覆盖率。我们提出了一种DfT方法,通过向片上可编程核心(如微处理器核心)添加测试指令来提高故障覆盖率并减少测试程序长度。本文讨论了一种识别有效测试指令的方法,这种方法可以在低面积/性能开销的情况下获得最高的收益。实验结果表明,与没有指令级DfT的情况相比,添加测试指令后,可以实现对可测试路径延迟故障的完整故障覆盖,程序大小和程序运行时间减少20%以上。
{"title":"Instruction-level DfT for testing processor and IP cores in system-on-a-chip","authors":"Wei-Cheng Lai, K. Cheng","doi":"10.1109/DAC.2001.156108","DOIUrl":"https://doi.org/10.1109/DAC.2001.156108","url":null,"abstract":"Self-testing manufacturing defects in a system-on-a-chip (SOC) by running test programs using a programmable core has several potential benefits including, at-speed testing, low DfT overhead due to elimination of dedicated test circuitry and better power and thermal management during testing, However, such a self-test strategy might require a lengthy test program and might not achieve a high enough fault coverage. We propose a DfT methodology to improve the fault coverage and reduce the test program length, by adding test instructions to an on-chip programmable core such as a microprocessor core. This paper discusses a method of identifying effective test instructions which could result in highest benefits with low area/performance overhead. The experimental results show that with the added test instructions, a complete fault coverage for testable path delay faults can be achieved with a greater than 20% reduction in the program size and the program runtime, as compared to the case without instruction-level DfT.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"87 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115664614","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 56
Functional correlation analysis in crosstalk induced critical paths identification 串音诱导关键路径识别中的功能相关分析
Tong Xiao, M. Marek-Sadowska
In deep submicron digital circuits capacitive couplings make delay of a switching signal highly dependent on its neighbors' switching times and switching directions. A long path may have a large number of coupling neighbors with difficult to determine interdependencies. Ignoring the mutual relationship among the signals may result in a very pessimistic estimation of circuit delay. In this paper, we apply efficient functional correlation analysis techniques to identify critical paths caused by crosstalk delay effects. We also discuss applications to static timing optimization. Experiments demonstrate efficacy of the proposed technique.
在深亚微米数字电路中,电容耦合使得开关信号的延迟高度依赖于相邻开关时间和开关方向。长路径可能有大量难以确定相互依赖关系的耦合邻居。忽略信号之间的相互关系可能会导致对电路延迟的非常悲观的估计。在本文中,我们应用有效的函数相关分析技术来识别由串扰延迟效应引起的关键路径。我们还讨论了静态时序优化的应用。实验证明了该方法的有效性。
{"title":"Functional correlation analysis in crosstalk induced critical paths identification","authors":"Tong Xiao, M. Marek-Sadowska","doi":"10.1145/378239.379041","DOIUrl":"https://doi.org/10.1145/378239.379041","url":null,"abstract":"In deep submicron digital circuits capacitive couplings make delay of a switching signal highly dependent on its neighbors' switching times and switching directions. A long path may have a large number of coupling neighbors with difficult to determine interdependencies. Ignoring the mutual relationship among the signals may result in a very pessimistic estimation of circuit delay. In this paper, we apply efficient functional correlation analysis techniques to identify critical paths caused by crosstalk delay effects. We also discuss applications to static timing optimization. Experiments demonstrate efficacy of the proposed technique.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129918445","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Circuit-based Boolean reasoning 基于电路的布尔推理
A. Kuehlmann, Malay K. Ganai, Viresh Paruthi
Many tasks in CAD, such as equivalence checking, property checking, logic synthesis, and false paths analysis require efficient Boolean reasoning for problems derived from circuit structures. Traditionally, canonical representations, e.g., BDDs, or SAT-based search methods are used to solve a particular class of problems. In this paper we present a combination of techniques for Boolean reasoning based on BDDs, structural transformations, and a SAT procedure natively working on a shared graph representation of the problem. The described intertwined integration of the three techniques results in a robust summation of their orthogonal strengths. Our experiments demonstrate the effectiveness of the approach.
CAD中的许多任务,如等价性检查、性质检查、逻辑综合和假路径分析,都需要对电路结构衍生的问题进行有效的布尔推理。传统上,规范表示,例如bdd,或基于sat的搜索方法被用来解决一类特定的问题。在本文中,我们提出了一种基于bdd的布尔推理技术、结构转换技术和一个基于问题的共享图表示的SAT程序的组合。所描述的三种技术的交织集成导致了它们正交强度的鲁棒总和。我们的实验证明了该方法的有效性。
{"title":"Circuit-based Boolean reasoning","authors":"A. Kuehlmann, Malay K. Ganai, Viresh Paruthi","doi":"10.1145/378239.378470","DOIUrl":"https://doi.org/10.1145/378239.378470","url":null,"abstract":"Many tasks in CAD, such as equivalence checking, property checking, logic synthesis, and false paths analysis require efficient Boolean reasoning for problems derived from circuit structures. Traditionally, canonical representations, e.g., BDDs, or SAT-based search methods are used to solve a particular class of problems. In this paper we present a combination of techniques for Boolean reasoning based on BDDs, structural transformations, and a SAT procedure natively working on a shared graph representation of the problem. The described intertwined integration of the three techniques results in a robust summation of their orthogonal strengths. Our experiments demonstrate the effectiveness of the approach.","PeriodicalId":154316,"journal":{"name":"Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)","volume":"37 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2001-06-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121463070","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 151
期刊
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1