首页 > 最新文献

1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)最新文献

英文 中文
Global Harmony: coupled noise analysis for full-chip RC interconnect networks 全球和谐:全芯片RC互连网络的耦合噪声分析
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643396
K. Shepard, V. Narayanan, P. C. Elmendorf, Gutuan Zheng
Noise is becoming one of the most important metrics in the design of VLSI systems, certainly of comparable importance to area, timing, and power. In this paper, we describe Global Harmony, a methodology for the analysis of coupling noise in the global interconnect of large VLSI chips being developed for the design of high-performance microprocessors. The architecture of Global Harmony involves a careful combination of static noise analysis, static timing analysis, and reduced-order modelling techniques. We describe a reduced-order modelling approach that allows for passive multiport reduction of RC netlists as impedance macromodels while preserving the symmetry and sparsity of the state matrices for efficient storage. We describe how the macromodels are practically employed to perform coupling analysis and how timing constraints can be used to limit pessimism in the analysis.
噪声正在成为VLSI系统设计中最重要的指标之一,其重要性当然与面积、时序和功率相当。在本文中,我们描述了全局和谐,这是一种用于分析大型VLSI芯片全局互连中的耦合噪声的方法,用于高性能微处理器的设计。“全球和谐”的架构包括静态噪声分析、静态时序分析和降阶建模技术的精心结合。我们描述了一种降阶建模方法,该方法允许RC网络列表作为阻抗宏模型的被动多端口约简,同时保持状态矩阵的对称性和稀疏性,以实现高效存储。我们描述了如何实际使用宏观模型来执行耦合分析,以及如何使用时间约束来限制分析中的悲观情绪。
{"title":"Global Harmony: coupled noise analysis for full-chip RC interconnect networks","authors":"K. Shepard, V. Narayanan, P. C. Elmendorf, Gutuan Zheng","doi":"10.1109/ICCAD.1997.643396","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643396","url":null,"abstract":"Noise is becoming one of the most important metrics in the design of VLSI systems, certainly of comparable importance to area, timing, and power. In this paper, we describe Global Harmony, a methodology for the analysis of coupling noise in the global interconnect of large VLSI chips being developed for the design of high-performance microprocessors. The architecture of Global Harmony involves a careful combination of static noise analysis, static timing analysis, and reduced-order modelling techniques. We describe a reduced-order modelling approach that allows for passive multiport reduction of RC netlists as impedance macromodels while preserving the symmetry and sparsity of the state matrices for efficient storage. We describe how the macromodels are practically employed to perform coupling analysis and how timing constraints can be used to limit pessimism in the analysis.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115421334","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 88
Hierarchical partitioning for field-programmable systems 现场可编程系统的分层划分
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643571
V. Chan, D. Lewis
This paper presents a new recursive bipartitioning algorithm for a hierarchical field-programmable system. It draws new insights into relating the quality of the bipartitioning algorithm to circuit structures by the use of the partitioning tree (Hagen et al., 1994). The final algorithm proposed not only forms the basis for the partitioning solution of a 1-million gate field programmable system (Lewis et al., 1997) but can also be applied to general VLSI or multiple-FPGA partitioning problems.
针对分层现场可编程系统,提出了一种新的递归双分区算法。它通过使用划分树将双划分算法的质量与电路结构联系起来(Hagen et al., 1994)。最后提出的算法不仅构成了100万门现场可编程系统分区解决方案的基础(Lewis et al., 1997),而且还可以应用于一般的VLSI或多fpga分区问题。
{"title":"Hierarchical partitioning for field-programmable systems","authors":"V. Chan, D. Lewis","doi":"10.1109/ICCAD.1997.643571","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643571","url":null,"abstract":"This paper presents a new recursive bipartitioning algorithm for a hierarchical field-programmable system. It draws new insights into relating the quality of the bipartitioning algorithm to circuit structures by the use of the partitioning tree (Hagen et al., 1994). The final algorithm proposed not only forms the basis for the partitioning solution of a 1-million gate field programmable system (Lewis et al., 1997) but can also be applied to general VLSI or multiple-FPGA partitioning problems.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"209 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117133481","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
An efficient statistical analysis methodology and its application to high-density DRAMs 一种高效的统计分析方法及其在高密度dram中的应用
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643611
Sang-Hoon Lee, Chang-hoon Choi, J. Kong, Wong-Seong Lee, Jei-Hwan Yoo
A new approach for the statistical worst case of fall-chip circuit performance and parametric yield prediction, using both the modified-principal component analysis (MPCA) and the gradient method (GM), is proposed and verified. This method enables designers not only to predict the standard deviations of circuit performances but also track the circuit performances associated with the process shift using wafer test structure measurements. This new method is validated experimentally during the development and production of high density DRAMs.
提出了一种基于修正主成分分析(MPCA)和梯度法(GM)的跌落电路性能统计最坏情况和参数良率预测新方法,并进行了验证。这种方法使设计人员不仅可以预测电路性能的标准偏差,还可以使用晶圆测试结构测量跟踪与工艺转移相关的电路性能。该方法在高密度dram的研制和生产过程中得到了实验验证。
{"title":"An efficient statistical analysis methodology and its application to high-density DRAMs","authors":"Sang-Hoon Lee, Chang-hoon Choi, J. Kong, Wong-Seong Lee, Jei-Hwan Yoo","doi":"10.1109/ICCAD.1997.643611","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643611","url":null,"abstract":"A new approach for the statistical worst case of fall-chip circuit performance and parametric yield prediction, using both the modified-principal component analysis (MPCA) and the gradient method (GM), is proposed and verified. This method enables designers not only to predict the standard deviations of circuit performances but also track the circuit performances associated with the process shift using wafer test structure measurements. This new method is validated experimentally during the development and production of high density DRAMs.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120817787","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists 一个基于sat的隐含引擎,用于高效的ATPG,等价性检查和网络列表的优化
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643607
P. Tafertshofer, A. Ganz, M. Henftling
The paper presents a flexible and efficient approach to evaluating implications as well as deriving indirect implications in logic circuits. Evaluation and derivation of implications are essential in ATPG, equivalence checking, and netlist optimization. Contrary to other methods, the approach is based on a graph model of a circuit's clause description called implication graph. It combines both the flexibility of SAT-based techniques and high efficiency of structure based methods. As the proposed algorithms operate only on the implication graph, they are independent of the chosen logic. Evaluation of implications and computation of indirect implications are performed by simple and efficient graph algorithms. Experimental results for various applications relying on implication demonstrate the effectiveness of the approach.
本文提出了一种灵活而有效的方法来评估逻辑电路中的隐含意义以及推导间接隐含意义。评估和推导的含义是必不可少的在ATPG,等价性检查,和网络列表优化。与其他方法相反,该方法是基于电路子句描述的图形模型,称为隐含图。它结合了基于sat技术的灵活性和基于结构方法的高效率。由于所提出的算法仅在隐含图上运行,因此它们与所选择的逻辑无关。用简单有效的图算法对隐含意义进行评价和间接隐含意义的计算。基于隐含的各种应用的实验结果证明了该方法的有效性。
{"title":"A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists","authors":"P. Tafertshofer, A. Ganz, M. Henftling","doi":"10.1109/ICCAD.1997.643607","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643607","url":null,"abstract":"The paper presents a flexible and efficient approach to evaluating implications as well as deriving indirect implications in logic circuits. Evaluation and derivation of implications are essential in ATPG, equivalence checking, and netlist optimization. Contrary to other methods, the approach is based on a graph model of a circuit's clause description called implication graph. It combines both the flexibility of SAT-based techniques and high efficiency of structure based methods. As the proposed algorithms operate only on the implication graph, they are independent of the chosen logic. Evaluation of implications and computation of indirect implications are performed by simple and efficient graph algorithms. Experimental results for various applications relying on implication demonstrate the effectiveness of the approach.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"61 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123991866","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 70
Optimal wire and transistor sizing for circuits with non-tree topology 非树形拓扑电路的最佳导线和晶体管尺寸
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643528
L. Vandenberghe, Stephen P. Boyd, A. Gamal
Conventional methods for optimal sizing of wires and transistors use linear RC circuit models and the Elmore delay as a measure of signal delay. If the RC circuit has a tree topology, the sizing problem reduces to a convex optimization problem which can be solved using geometric programming. The tree topology restriction precludes the use of these methods in several sizing problems of significant importance to high-performance deep submicron design including, for example, circuits with loops of resistors, e.g. clock distribution meshes, and circuits with coupling capacitors, e.g. buses with crosstalk between the lines. The paper proposes a new optimization method which can be used to address these problems. The method uses the dominant time constant as a measure of signal propagation delay in an RC circuit, instead of Elmore delay. Using this measure, sizing of any RC circuit can be cast as a convex optimization problem which can be solved using the recently-developed efficient interior-point methods for semidefinite programming. The method is applied to two important sizing problems-the sizing of clock meshes and the sizing of buses in the presence of crosstalk.
导线和晶体管的最佳尺寸的传统方法使用线性RC电路模型和Elmore延迟作为信号延迟的度量。如果RC电路具有树形拓扑结构,则尺寸问题可简化为凸优化问题,可用几何规划方法求解。树形拓扑结构的限制排除了这些方法在一些对高性能深亚微米设计具有重要意义的尺寸问题中的使用,例如,具有电阻环路的电路,例如时钟分布网格,以及具有耦合电容器的电路,例如线路之间具有串扰的总线。本文提出了一种新的优化方法来解决这些问题。该方法使用主导时间常数作为RC电路中信号传播延迟的度量,而不是Elmore延迟。利用这种方法,任何RC电路的尺寸都可以转化为一个凸优化问题,而这个凸优化问题可以用最近发展的半定规划的高效内点方法来解决。该方法应用于两个重要的确定问题——时钟网格的确定和存在串扰的总线的确定。
{"title":"Optimal wire and transistor sizing for circuits with non-tree topology","authors":"L. Vandenberghe, Stephen P. Boyd, A. Gamal","doi":"10.1109/ICCAD.1997.643528","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643528","url":null,"abstract":"Conventional methods for optimal sizing of wires and transistors use linear RC circuit models and the Elmore delay as a measure of signal delay. If the RC circuit has a tree topology, the sizing problem reduces to a convex optimization problem which can be solved using geometric programming. The tree topology restriction precludes the use of these methods in several sizing problems of significant importance to high-performance deep submicron design including, for example, circuits with loops of resistors, e.g. clock distribution meshes, and circuits with coupling capacitors, e.g. buses with crosstalk between the lines. The paper proposes a new optimization method which can be used to address these problems. The method uses the dominant time constant as a measure of signal propagation delay in an RC circuit, instead of Elmore delay. Using this measure, sizing of any RC circuit can be cast as a convex optimization problem which can be solved using the recently-developed efficient interior-point methods for semidefinite programming. The method is applied to two important sizing problems-the sizing of clock meshes and the sizing of buses in the presence of crosstalk.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"283 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123269558","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
Delay bounded buffered tree construction for timing driven floorplanning 延迟有界缓冲树形结构用于定时驱动的楼层规划
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643616
M. Kang, W. Dai, Tom Dillinger, D. LaPotin
As devices and lines shrink into the deep submicron range, the propagation delay of signals can be effectively improved by repowering the signals using intermediate buffers placed within the routing trees. Almost no existing timing driven floorplanning and placement approaches consider the option of buffer insertion. As such, they may exclude solutions, particularly early in the design process, with smaller overall area and better routability. In this paper, we propose a new methodology in which buffered trees are used to estimate wire delay during floorplanning. Instead of treating delay as one of the objectives, as done by the majority of previous work, we formulate the problem in terms of delay bounded buffered trees (DBB-tree) and propose an efficient algorithm to construct a DBB spanning tree for use during floorplanning. Experimental results show that the algorithm is very effective. Using buffer insertion at the floorplanning stage yields significantly better solutions in terms of both chip area and total wire length.
当器件和线路缩小到深亚微米范围时,可以通过使用放置在路由树内的中间缓冲器为信号重新供电来有效地改善信号的传播延迟。几乎没有现有的时间驱动的地板规划和放置方法考虑缓冲区插入的选择。因此,他们可能会排除整体面积更小、可达性更好的解决方案,尤其是在设计过程的早期。在本文中,我们提出了一种新的方法,其中缓冲树用于估计地板规划期间的电线延迟。与以往大多数工作将延迟作为目标之一不同,我们以延迟有界缓冲树(DBB-tree)的形式来表述问题,并提出了一种有效的算法来构建DBB生成树,用于地板规划。实验结果表明,该算法是非常有效的。在平面规划阶段使用缓冲器插入,在芯片面积和总导线长度方面都能产生更好的解决方案。
{"title":"Delay bounded buffered tree construction for timing driven floorplanning","authors":"M. Kang, W. Dai, Tom Dillinger, D. LaPotin","doi":"10.1109/ICCAD.1997.643616","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643616","url":null,"abstract":"As devices and lines shrink into the deep submicron range, the propagation delay of signals can be effectively improved by repowering the signals using intermediate buffers placed within the routing trees. Almost no existing timing driven floorplanning and placement approaches consider the option of buffer insertion. As such, they may exclude solutions, particularly early in the design process, with smaller overall area and better routability. In this paper, we propose a new methodology in which buffered trees are used to estimate wire delay during floorplanning. Instead of treating delay as one of the objectives, as done by the majority of previous work, we formulate the problem in terms of delay bounded buffered trees (DBB-tree) and propose an efficient algorithm to construct a DBB spanning tree for use during floorplanning. Experimental results show that the algorithm is very effective. Using buffer insertion at the floorplanning stage yields significantly better solutions in terms of both chip area and total wire length.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"336 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124289112","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Logic synthesis for large pass transistor circuits 大通路晶体管电路的逻辑合成
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643609
Premal Buch, A. Narayan, A. Newton, A. Sangiovanni-Vincentelli
Pass transistor logic (PTL) can be a promising alternative to static CMOS for deep sub-micron design. The authors motivate the need for CAD algorithms for PTL circuit design and propose decomposed BDDs as a suitable logic level representation for synthesis of PTL networks. Decomposed BDDs can represent large, arbitrary functions as a multistage circuit and can exploit the natural, efficient mapping of a BDD to PTL. A comprehensive synthesis flow based on decomposed BDDs is outlined for PTL design. They show that the proposed approach allows one to make logic-level optimizations similar to the traditional multi-level network based synthesis flow for static CMOS, and also makes possible optimizations with a direct impact on area, delay and power of the final circuit implementation which do nor have any equivalent in the traditional approach. They also present a set of heuristical algorithms to synthesize PTL circuits optimized for area, delay and power which are key to the proposed synthesis flow. Experimental results on ISCAS benchmark circuits show that the technique yields PTL circuits with substantial improvements over static CMOS designs. In addition, to the best of their knowledge this is the first time PTL circuits have been synthesized for the entire ISCAS benchmark set.
通过晶体管逻辑(PTL)可以替代静态CMOS进行深亚微米设计。作者提出了PTL电路设计需要CAD算法,并提出了分解的bdd作为PTL网络综合的合适逻辑级表示。分解的BDD可以将大的、任意的函数表示为多级电路,并且可以利用BDD到PTL的自然、有效的映射。提出了一种基于分解bdd的综合合成流程,用于PTL设计。他们表明,所提出的方法允许人们对静态CMOS进行类似于传统的基于多级网络的合成流程的逻辑级优化,并且还可以对最终电路实现的面积,延迟和功率产生直接影响的优化,这在传统方法中没有任何等效性。他们还提出了一套启发式算法来合成对面积、延迟和功率进行优化的PTL电路,这是所提出的合成流程的关键。在ISCAS基准电路上的实验结果表明,该技术产生的PTL电路比静态CMOS设计有很大的改进。此外,据他们所知,这是第一次为整个ISCAS基准集合成PTL电路。
{"title":"Logic synthesis for large pass transistor circuits","authors":"Premal Buch, A. Narayan, A. Newton, A. Sangiovanni-Vincentelli","doi":"10.1109/ICCAD.1997.643609","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643609","url":null,"abstract":"Pass transistor logic (PTL) can be a promising alternative to static CMOS for deep sub-micron design. The authors motivate the need for CAD algorithms for PTL circuit design and propose decomposed BDDs as a suitable logic level representation for synthesis of PTL networks. Decomposed BDDs can represent large, arbitrary functions as a multistage circuit and can exploit the natural, efficient mapping of a BDD to PTL. A comprehensive synthesis flow based on decomposed BDDs is outlined for PTL design. They show that the proposed approach allows one to make logic-level optimizations similar to the traditional multi-level network based synthesis flow for static CMOS, and also makes possible optimizations with a direct impact on area, delay and power of the final circuit implementation which do nor have any equivalent in the traditional approach. They also present a set of heuristical algorithms to synthesize PTL circuits optimized for area, delay and power which are key to the proposed synthesis flow. Experimental results on ISCAS benchmark circuits show that the technique yields PTL circuits with substantial improvements over static CMOS designs. In addition, to the best of their knowledge this is the first time PTL circuits have been synthesized for the entire ISCAS benchmark set.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115307755","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 124
Micro-preemption synthesis: an enabling mechanism for multi-task VLSI systems 微抢占综合:多任务VLSI系统的使能机制
Pub Date : 1900-01-01 DOI: 10.1109/iccad.1997.643272
Kyosun Kim, R. Karri, M. Potkonjak
Task preemption is a critical enabling mechanism in multi-task VLSI systems. On preemption, data in the register files must be preserved in order for the task to be resumed. This entails extra memory to save the context and additional clock cycles to restore the context. We present techniques and algorithms to incorporate micro-preemption constraints during multi-task VLSI system synthesis. Specifically, we have developed: algorithms to insert and refine preemption points in scheduled task graphs subject to preemption latency constraints; techniques to minimize the context switch overhead by considering the dedicated registers required to save the state of a task on preemption and the shared registers required to save the remaining values in the tasks; and a controller based scheme to preclude preemption related performance degradation.
任务抢占是多任务VLSI系统的关键使能机制。在抢占时,必须保留寄存器文件中的数据,以便恢复任务。这需要额外的内存来保存上下文,并需要额外的时钟周期来恢复上下文。我们提出了在多任务VLSI系统综合中纳入微抢占约束的技术和算法。具体来说,我们开发了:在受抢占延迟约束的调度任务图中插入和优化抢占点的算法;通过考虑在抢占时保存任务状态所需的专用寄存器和保存任务中剩余值所需的共享寄存器来最小化上下文切换开销的技术;并提出了一种基于控制器的方案来防止与抢占相关的性能下降。
{"title":"Micro-preemption synthesis: an enabling mechanism for multi-task VLSI systems","authors":"Kyosun Kim, R. Karri, M. Potkonjak","doi":"10.1109/iccad.1997.643272","DOIUrl":"https://doi.org/10.1109/iccad.1997.643272","url":null,"abstract":"Task preemption is a critical enabling mechanism in multi-task VLSI systems. On preemption, data in the register files must be preserved in order for the task to be resumed. This entails extra memory to save the context and additional clock cycles to restore the context. We present techniques and algorithms to incorporate micro-preemption constraints during multi-task VLSI system synthesis. Specifically, we have developed: algorithms to insert and refine preemption points in scheduled task graphs subject to preemption latency constraints; techniques to minimize the context switch overhead by considering the dedicated registers required to save the state of a task on preemption and the shared registers required to save the remaining values in the tasks; and a controller based scheme to preclude preemption related performance degradation.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115486143","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Global interconnect sizing and spacing with consideration of coupling capacitance 考虑耦合电容的全局互连尺寸和间距
Pub Date : 1900-01-01 DOI: 10.1109/iccad.1997.643604
J. Cong, Lei He, Cheng-Kok Koh, D. Pan
The paper presents an efficient approach to perform global interconnect sizing and spacing (GISS) for multiple nets to minimize interconnect delays with consideration of coupling capacitance, in addition to area and fringing capacitances. We introduce the formulation of symmetric and asymmetric wire sizing and spacing. We prove two important results on the symmetric and asymmetric effective fringing properties which lead to a very effective bound computation algorithm to compute the upper and lower bounds of the optimal wire sizing and spacing solution for all nets under consideration. Our experiments show that in most cases the upper and lower bounds meet quickly after a few iterations and we actually obtain the optimal solution. To our knowledge, this is the first in depth study of global wire sizing and spacing for multiple nets with consideration of coupling capacitance. Experimental results show that our GISS solutions lead to substantially better delay reduction than existing single net wire sizing solutions without consideration of coupling capacitance.
本文提出了一种有效的方法对多个网络进行全局互连尺寸和间距(GISS),以最小化互连延迟,同时考虑耦合电容,以及面积和边缘电容。我们介绍了对称和非对称线材尺寸和间距的公式。我们证明了对称和非对称有效边化性质的两个重要结果,从而得出了一种非常有效的边界计算算法,可以计算所有考虑的网的最优线径和间距解的上界和下界。我们的实验表明,在大多数情况下,经过几次迭代,上界和下界很快就会满足,我们实际上得到了最优解。据我们所知,这是第一次在考虑耦合电容的情况下对多个网络的整体线径和间距进行深入研究。实验结果表明,我们的GISS解决方案比现有的不考虑耦合电容的单网线尺寸解决方案具有更好的延迟降低效果。
{"title":"Global interconnect sizing and spacing with consideration of coupling capacitance","authors":"J. Cong, Lei He, Cheng-Kok Koh, D. Pan","doi":"10.1109/iccad.1997.643604","DOIUrl":"https://doi.org/10.1109/iccad.1997.643604","url":null,"abstract":"The paper presents an efficient approach to perform global interconnect sizing and spacing (GISS) for multiple nets to minimize interconnect delays with consideration of coupling capacitance, in addition to area and fringing capacitances. We introduce the formulation of symmetric and asymmetric wire sizing and spacing. We prove two important results on the symmetric and asymmetric effective fringing properties which lead to a very effective bound computation algorithm to compute the upper and lower bounds of the optimal wire sizing and spacing solution for all nets under consideration. Our experiments show that in most cases the upper and lower bounds meet quickly after a few iterations and we actually obtain the optimal solution. To our knowledge, this is the first in depth study of global wire sizing and spacing for multiple nets with consideration of coupling capacitance. Experimental results show that our GISS solutions lead to substantially better delay reduction than existing single net wire sizing solutions without consideration of coupling capacitance.","PeriodicalId":187521,"journal":{"name":"1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)","volume":"105 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124082516","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 39
期刊
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1