首页 > 最新文献

Proceedings of the 2017 ACM on International Symposium on Physical Design最新文献

英文 中文
Improving Detailed Routability and Pin Access with 3D Monolithic Standard Cells 用3D单片标准单元改进详细的可达性和引脚访问
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036676
Daohang Shi, A. Davoodi
We study the impact of using 3D monolithic (3DM) standard cells on improving detailed routability and pin access. We propose a design flow which transforms standard rows of single-tier "2D" cells into rows of standard 3DM cells folded into two tiers. The transformation preserves layout characteristics such as overall area and number of metal layers for signal routing (i.e., M2 and above). It also creates redundant pins and free routing tracks in the two tiers used by the 3DM cells. We then propose an Integer Linear Program which routes as many nets as possible on the free 3DM routing tracks, leaving the rest of the nets to be routed via a standard global and detailed router on the metal layers dedicated for signal routing. Our experiments show significant improvement in detailed routability metrics using 3DM cells compared to using 2D standard cells.
我们研究了使用3D单片(3DM)标准单元对改善详细路由可达性和引脚访问的影响。我们提出了一种设计流程,将标准的单层“2D”单元转换为折叠成两层的标准3DM单元。该转换保留了布局特征,例如用于信号路由的总体面积和金属层数量(即M2及以上)。它还在3DM单元使用的两层中创建冗余引脚和自由路由轨道。然后,我们提出了一个整数线性方案,在免费的3DM路由轨道上路由尽可能多的网络,剩下的网络通过专用于信号路由的金属层上的标准全局和详细路由器进行路由。我们的实验表明,与使用2D标准单元相比,使用3DM单元的详细可达性指标有显著改善。
{"title":"Improving Detailed Routability and Pin Access with 3D Monolithic Standard Cells","authors":"Daohang Shi, A. Davoodi","doi":"10.1145/3036669.3036676","DOIUrl":"https://doi.org/10.1145/3036669.3036676","url":null,"abstract":"We study the impact of using 3D monolithic (3DM) standard cells on improving detailed routability and pin access. We propose a design flow which transforms standard rows of single-tier \"2D\" cells into rows of standard 3DM cells folded into two tiers. The transformation preserves layout characteristics such as overall area and number of metal layers for signal routing (i.e., M2 and above). It also creates redundant pins and free routing tracks in the two tiers used by the 3DM cells. We then propose an Integer Linear Program which routes as many nets as possible on the free 3DM routing tracks, leaving the rest of the nets to be routed via a standard global and detailed router on the metal layers dedicated for signal routing. Our experiments show significant improvement in detailed routability metrics using 3DM cells compared to using 2D standard cells.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129927410","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Past, Present and Future of the Research 研究的过去、现在和未来
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038254
S. Goto
Biography Satoshi Goto received the B.E. and the M.E. Degrees in Electronics and Communication Engineering from Waseda University in 1968 and 1970 respectively. He also received the Dr. of Engineering from the same University in 1978. He joined NEC Laboratories in 1970 where he worked for LSI design, Multimedia system and Software as GM and Vice President. Since 2002, he has been Professor, at Graduate School of Information, Production and Systems of Waseda University at Kitakyushu and now Emeritus Professor at Waseda University, Japan. He served as GC of ICCAD, ASPDAC, VLSI-SOC, ASICON and ISOCC and was a board member of IEEE CAS society. He is IEEE Life Fellow and IEICE Fellow. He is Visiting Professor at Shanghai Jiao Tang University, Sun Yat-sen University and Tsinghua University of China and Member of Science Council of Japan.
后藤聪分别于1968年和1970年获得早稻田大学电子和通信工程学士学位和硕士学位。他还于1978年获得同一所大学的工程学博士学位。他于1970年加入NEC实验室,在LSI设计、多媒体系统和软件部门担任总经理和副总裁。自2002年以来,他一直担任北九州早稻田大学信息、生产和系统研究生院教授,现任日本早稻田大学名誉教授。他曾担任ICCAD, ASPDAC, VLSI-SOC, ASICON和ISOCC的GC,也是IEEE CAS协会的董事会成员。他是IEEE终身研究员和IEICE研究员。上海交通大学、中山大学、清华大学客座教授,日本科学委员会委员。
{"title":"Past, Present and Future of the Research","authors":"S. Goto","doi":"10.1145/3036669.3038254","DOIUrl":"https://doi.org/10.1145/3036669.3038254","url":null,"abstract":"Biography Satoshi Goto received the B.E. and the M.E. Degrees in Electronics and Communication Engineering from Waseda University in 1968 and 1970 respectively. He also received the Dr. of Engineering from the same University in 1978. He joined NEC Laboratories in 1970 where he worked for LSI design, Multimedia system and Software as GM and Vice President. Since 2002, he has been Professor, at Graduate School of Information, Production and Systems of Waseda University at Kitakyushu and now Emeritus Professor at Waseda University, Japan. He served as GC of ICCAD, ASPDAC, VLSI-SOC, ASICON and ISOCC and was a board member of IEEE CAS society. He is IEEE Life Fellow and IEICE Fellow. He is Visiting Professor at Shanghai Jiao Tang University, Sun Yat-sen University and Tsinghua University of China and Member of Science Council of Japan.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132855644","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Deep Learning in the Enhanced Cloud 增强云中的深度学习
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038243
Eric S. Chung
Deep Learning has emerged as a singularly critical technology for enabling human-like intelligence in online services such as Azure, Office 365, Bing, Cortana, Skype, and other high-valued scenarios at Microsoft. While Deep Neural Networks (DNNs) have enabled state-of-the-art accuracy in many intelligence tasks, they are notoriously expensive and difficult to deploy in hyperscale datacenters constrained by power, cost, and latency. Furthermore, the escalating (and insatiable) demand for DNNs comes at an inopportune time as ideal silicon scaling (Moore's Law) comes to a diminishing end. At Microsoft, we have developed a new cloud architecture that's enhanced using FPGA (Field Programmable Gate Array). FPGAs can be viewed as programmable silicon and are being deployed into each and every new server in Microsoft's hyperscale infrastructure. The flexibility of FPGAs combined with a novel Hardware-as-a-Service (HaaS) architecture unlocks the full potential of a completely programmable hardware and software acceleration plane. In this talk, I'll give a history and overview of the project, discuss the key enabling technologies behind our enhanced cloud, present opportunities to harness this technology for accelerated deep learning, and conclude with directions for future work.
深度学习已经成为一项非常关键的技术,可以在Azure、Office 365、必应、Cortana、Skype等在线服务中实现类似人类的智能,以及微软的其他高价值场景。虽然深度神经网络(dnn)在许多智能任务中实现了最先进的准确性,但它们在受功率、成本和延迟限制的超大规模数据中心中部署是出了名的昂贵和困难。此外,随着理想的硅缩放(摩尔定律)逐渐消失,对深度神经网络不断升级(和永不满足)的需求来得不合时宜。在微软,我们开发了一种新的云架构,它使用FPGA(现场可编程门阵列)进行了增强。fpga可以被看作是可编程的芯片,并且正在被部署到微软超大规模基础设施的每一台新服务器中。fpga的灵活性与新颖的硬件即服务(HaaS)架构相结合,释放了完全可编程硬件和软件加速平面的全部潜力。在这次演讲中,我将介绍该项目的历史和概述,讨论我们增强云背后的关键支持技术,提供利用该技术加速深度学习的机会,并总结未来工作的方向。
{"title":"Deep Learning in the Enhanced Cloud","authors":"Eric S. Chung","doi":"10.1145/3036669.3038243","DOIUrl":"https://doi.org/10.1145/3036669.3038243","url":null,"abstract":"Deep Learning has emerged as a singularly critical technology for enabling human-like intelligence in online services such as Azure, Office 365, Bing, Cortana, Skype, and other high-valued scenarios at Microsoft. While Deep Neural Networks (DNNs) have enabled state-of-the-art accuracy in many intelligence tasks, they are notoriously expensive and difficult to deploy in hyperscale datacenters constrained by power, cost, and latency. Furthermore, the escalating (and insatiable) demand for DNNs comes at an inopportune time as ideal silicon scaling (Moore's Law) comes to a diminishing end. At Microsoft, we have developed a new cloud architecture that's enhanced using FPGA (Field Programmable Gate Array). FPGAs can be viewed as programmable silicon and are being deployed into each and every new server in Microsoft's hyperscale infrastructure. The flexibility of FPGAs combined with a novel Hardware-as-a-Service (HaaS) architecture unlocks the full potential of a completely programmable hardware and software acceleration plane. In this talk, I'll give a history and overview of the project, discuss the key enabling technologies behind our enhanced cloud, present opportunities to harness this technology for accelerated deep learning, and conclude with directions for future work.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125442796","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Research Challenges in Security-Aware Physical Design 安全感知物理设计中的研究挑战
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3051456
R. Karri
The presentation will discuss security techniques such as IC camouflaging and logic encryption.
演讲将讨论IC伪装和逻辑加密等安全技术。
{"title":"Research Challenges in Security-Aware Physical Design","authors":"R. Karri","doi":"10.1145/3036669.3051456","DOIUrl":"https://doi.org/10.1145/3036669.3051456","url":null,"abstract":"The presentation will discuss security techniques such as IC camouflaging and logic encryption.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121237252","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Physical Layout after Half a Century: From Back-Board Ordering to Multi-Dimensional Placement and Beyond 半个世纪后的物理布局:从背板排序到多维布局及超越
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038251
Ilgweon Kang, Chung-Kuan Cheng
Innovations and advancements on physical design (PD) in the past half century significantly contribute to the progresses of modern VLSI designs. While ``Moore's Law'' and ``Dennard Scaling'' have become slowing down recently, physical design society encountered a set of challenges and opportunities. This article is presented at the event of the Life Time Achievement Award for Dr. Satoshi Goto by ISPD 2017. Dr. Goto's career in VLSI designs sets an exemplar role model for young engineers. Thus, we use his contributions as a thread to describe our personal view of physical layout from early back-board ordering to recent multi-dimensional placement and the future.
在过去的半个世纪里,物理设计(PD)的创新和进步极大地促进了现代超大规模集成电路设计的进步。虽然“摩尔定律”和“登纳德缩放”的发展速度最近有所放缓,但物理设计社会遇到了一系列挑战和机遇。本文发表于ISPD 2017年为后藤智博士颁发的终身成就奖。后藤博士在超大规模集成电路设计领域的职业生涯为年轻工程师树立了典范。因此,我们以他的贡献为线索来描述我们个人对物理布局的看法,从早期的背板排序到最近的多维布局和未来。
{"title":"Physical Layout after Half a Century: From Back-Board Ordering to Multi-Dimensional Placement and Beyond","authors":"Ilgweon Kang, Chung-Kuan Cheng","doi":"10.1145/3036669.3038251","DOIUrl":"https://doi.org/10.1145/3036669.3038251","url":null,"abstract":"Innovations and advancements on physical design (PD) in the past half century significantly contribute to the progresses of modern VLSI designs. While ``Moore's Law'' and ``Dennard Scaling'' have become slowing down recently, physical design society encountered a set of challenges and opportunities. This article is presented at the event of the Life Time Achievement Award for Dr. Satoshi Goto by ISPD 2017. Dr. Goto's career in VLSI designs sets an exemplar role model for young engineers. Thus, we use his contributions as a thread to describe our personal view of physical layout from early back-board ordering to recent multi-dimensional placement and the future.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130190704","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
CAD Opportunities with Hyper-Pipelining 使用Hyper-Pipelining的CAD机会
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3044804
M. Iyer
Hyper-pipelining is a design technique that results in significant performance and throughput improvements in latency-insensitive designs. Modern FPGA architectures like Intel's Stratix®10 feature a revolutionary register-rich HyperFlex? core fabric architecture that make it amenable for hyper-pipelining. Design implementation CAD tools can provide insights into performance bottlenecks and how hyper-pipelining can result in improved performance, that can then be implemented using well-known techniques like retiming. Retiming was first introduced as a powerful sequential design optimization technique three decades ago, yet gained limited popularity in the ASIC industry. In recent years, retiming has gained tremendous popularity in the FPGA industry. This talk will discuss why this is the case, and provide insights into some of the interesting opportunities it presents for design implementation, analysis, and verification CAD tools. Impacts of hyper-pipelining on the physical design CAD flow and timing closure will also be discussed.
超流水线是一种设计技术,可以显著提高延迟不敏感设计的性能和吞吐量。现代FPGA架构,如英特尔的Stratix®10,具有革命性的寄存器丰富的HyperFlex?核心结构架构,使其适合超流水线。设计实现CAD工具可以深入了解性能瓶颈,以及超流水线如何提高性能,然后可以使用重新计时等众所周知的技术来实现。三十年前,重定时首次作为一种强大的顺序设计优化技术被引入,但在ASIC行业的普及程度有限。近年来,重定时在FPGA行业得到了极大的普及。本讲座将讨论为什么会出现这种情况,并提供一些有趣的机会,它为设计实现,分析和验证CAD工具提供了见解。超流水线对物理设计、CAD流程和时序关闭的影响也将被讨论。
{"title":"CAD Opportunities with Hyper-Pipelining","authors":"M. Iyer","doi":"10.1145/3036669.3044804","DOIUrl":"https://doi.org/10.1145/3036669.3044804","url":null,"abstract":"Hyper-pipelining is a design technique that results in significant performance and throughput improvements in latency-insensitive designs. Modern FPGA architectures like Intel's Stratix®10 feature a revolutionary register-rich HyperFlex? core fabric architecture that make it amenable for hyper-pipelining. Design implementation CAD tools can provide insights into performance bottlenecks and how hyper-pipelining can result in improved performance, that can then be implemented using well-known techniques like retiming. Retiming was first introduced as a powerful sequential design optimization technique three decades ago, yet gained limited popularity in the ASIC industry. In recent years, retiming has gained tremendous popularity in the FPGA industry. This talk will discuss why this is the case, and provide insights into some of the interesting opportunities it presents for design implementation, analysis, and verification CAD tools. Impacts of hyper-pipelining on the physical design CAD flow and timing closure will also be discussed.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130255928","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Fast, Robust Network Flow-based Standard-Cell Legalization Method for Minimizing Maximum Movement 一种快速、鲁棒的基于网络流的最大移动最小化标准单元合法化方法
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036680
Nima Karimpour Darav, Ismail Bustany, A. Kennings, L. Behjat
The standard-cell placement legalization problem has become critical due to increasing design rule complexity and design utilization at 16nm and lower technology nodes. An ideal legalization approach should preserve the quality of the input placement in terms of routability and timing, as well as effectively manage white space availability and have low runtime. In this work, we present a robust legalization algorithm for standard cell placement that minimizes maximum cell movements fast and effectively based on a novel network-flow approach. The idea is inspired by path augmentation but with important differences. In contrast to the classical path augmentation approaches, we resolve bin overflows by finding several candidate paths that guarantee realizable (legal) flow solutions. In addition, we show how the proposed algorithm can be seamlessly extended to handle relevant cell edge spacing design rules. Our experimental results on the ISPD 2014 benchmarks illustrate that our proposed method yields 2.5x and 3.3x less maximum and average cell movement, respectively, and the runtime is significantly (18x) lower compared to best-in-class academic legalizers.
由于设计规则的复杂性和16nm及以下技术节点的设计利用率不断增加,标准电池放置合法化问题变得至关重要。理想的合法化方法应该在可达性和时间方面保持输入位置的质量,以及有效地管理空白可用性和低运行时。在这项工作中,我们提出了一个强大的标准细胞放置合法化算法,该算法基于一种新颖的网络流方法,快速有效地将最大细胞运动最小化。这个想法是受到路径增强的启发,但有重要的区别。与经典的路径增强方法相比,我们通过寻找几个保证可实现(合法)流解的候选路径来解决bin溢出。此外,我们还展示了所提出的算法如何无缝扩展到处理相关的单元边缘间距设计规则。我们在ISPD 2014基准上的实验结果表明,与同类最佳的学术合法化器相比,我们提出的方法的最大和平均细胞移动量分别减少2.5倍和3.3倍,运行时间显著降低(18倍)。
{"title":"A Fast, Robust Network Flow-based Standard-Cell Legalization Method for Minimizing Maximum Movement","authors":"Nima Karimpour Darav, Ismail Bustany, A. Kennings, L. Behjat","doi":"10.1145/3036669.3036680","DOIUrl":"https://doi.org/10.1145/3036669.3036680","url":null,"abstract":"The standard-cell placement legalization problem has become critical due to increasing design rule complexity and design utilization at 16nm and lower technology nodes. An ideal legalization approach should preserve the quality of the input placement in terms of routability and timing, as well as effectively manage white space availability and have low runtime. In this work, we present a robust legalization algorithm for standard cell placement that minimizes maximum cell movements fast and effectively based on a novel network-flow approach. The idea is inspired by path augmentation but with important differences. In contrast to the classical path augmentation approaches, we resolve bin overflows by finding several candidate paths that guarantee realizable (legal) flow solutions. In addition, we show how the proposed algorithm can be seamlessly extended to handle relevant cell edge spacing design rules. Our experimental results on the ISPD 2014 benchmarks illustrate that our proposed method yields 2.5x and 3.3x less maximum and average cell movement, respectively, and the runtime is significantly (18x) lower compared to best-in-class academic legalizers.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130091792","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Hierarchical and Analytical Placement Techniques for High-Performance Analog Circuits 高性能模拟电路的分层和分析放置技术
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3036678
Biying Xu, Shaolan Li, Xiaoqing Xu, Nan Sun, D. Pan
High-performance analog integrated circuits usually require minimizing critical parasitic loading, which can be modeled by the critical net wire length in the layout stage. In order to reduce post-layout circuit performance degradation, critical net wire length minimization should be considered during placement, in addition to the conventional optimization objectives of total area and half perimeter wire length (HPWL). In this paper, we develop effective hierarchical and analytical techniques for high-performance analog circuits placement, which is a complex problem given its multi-objectives and constraints (e.g. hierarchical symmetric groups). The entire circuit is first partitioned hierarchically in a top-down, critical parasitics aware, hierarchical symmetric constraints and proximity constraints feasible manner, where the placement subproblem for each partition at each level can be solved in reasonable run-time. Then, different placement variants are generated for each partition from bottom up, taking advantage of the computation power of modern multi-core systems with parallelization. To assemble the placement variants of different subpartitions, a Mixed Integer Linear Programming (MILP) formulation is proposed which can simultaneously minimize critical parasitic loading, total area and HPWL, and handle hierarchical symmetric constraints, module variants selection and orientation. Experimental results demonstrate the effectiveness of the proposed techniques.
高性能模拟集成电路通常要求最小化临界寄生负载,这可以通过布局阶段的临界网线长度来建模。为了减少布局后电路性能的下降,除了传统的总面积和半周线长(HPWL)的优化目标外,在布局时还应考虑临界网线长度的最小化。在本文中,我们为高性能模拟电路的放置开发了有效的分层和分析技术,这是一个复杂的问题,因为它具有多目标和约束(例如分层对称群)。首先以自顶向下、临界寄生感知、分层对称约束和邻近约束可行的方式对整个电路进行分层划分,在合理的运行时间内求解每一层各分区的布局子问题。然后,利用现代多核系统并行化的计算能力,自下而上地为每个分区生成不同的放置变量。为了组合不同子分区的放置变量,提出了一种混合整数线性规划(MILP)公式,该公式可以同时最小化临界寄生负载、总面积和HPWL,并处理分层对称约束、模块变量选择和方向。实验结果证明了该方法的有效性。
{"title":"Hierarchical and Analytical Placement Techniques for High-Performance Analog Circuits","authors":"Biying Xu, Shaolan Li, Xiaoqing Xu, Nan Sun, D. Pan","doi":"10.1145/3036669.3036678","DOIUrl":"https://doi.org/10.1145/3036669.3036678","url":null,"abstract":"High-performance analog integrated circuits usually require minimizing critical parasitic loading, which can be modeled by the critical net wire length in the layout stage. In order to reduce post-layout circuit performance degradation, critical net wire length minimization should be considered during placement, in addition to the conventional optimization objectives of total area and half perimeter wire length (HPWL). In this paper, we develop effective hierarchical and analytical techniques for high-performance analog circuits placement, which is a complex problem given its multi-objectives and constraints (e.g. hierarchical symmetric groups). The entire circuit is first partitioned hierarchically in a top-down, critical parasitics aware, hierarchical symmetric constraints and proximity constraints feasible manner, where the placement subproblem for each partition at each level can be solved in reasonable run-time. Then, different placement variants are generated for each partition from bottom up, taking advantage of the computation power of modern multi-core systems with parallelization. To assemble the placement variants of different subpartitions, a Mixed Integer Linear Programming (MILP) formulation is proposed which can simultaneously minimize critical parasitic loading, total area and HPWL, and handle hierarchical symmetric constraints, module variants selection and orientation. Experimental results demonstrate the effectiveness of the proposed techniques.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114466939","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
How Game Engines Can Inspire EDA Tools Development: A use case for an open-source physical design library 游戏引擎如何启发EDA工具开发:一个开源物理设计库的用例
Pub Date : 2017-03-19 DOI: 10.1145/3036669.3038248
T. Fontana, R. Netto, Vinicius S. Livramento, C. Guth, S. Almeida, L. Pilla, José Luís Almada Güntzel
Similarly to game engines, physical design tools must handle huge amounts of data. Although the game industry has been employing modern software development concepts such as data-oriented design, most physical design tools still relies on object-oriented design. Differently from object-oriented design, data-oriented design focuses on how data is organized in memory and can be used to solve typical object-oriented design problems. However, its adoption is not trivial because most software developers are used to think about objects' relationships rather than data organization. The entity-component design pattern can be used as an efficient alternative. It consists in decomposing a problem into a set of entities and their components (properties). This paper discusses the main data-oriented design concepts, how they improve software quality and how they can be used in the context of physical design problems. In order to evaluate this programming model, we implemented an entity-component system using the open-source library Ophidian. Experimental results for two physical design tasks show that data-oriented design is much faster than object-oriented design for problems with good data locality, while been only sightly slower for other kinds of problems.
与游戏引擎类似,物理设计工具必须处理大量数据。尽管游戏产业一直在使用面向数据的设计等现代软件开发概念,但大多数物理设计工具仍然依赖于面向对象的设计。与面向对象设计不同,面向数据设计关注的是数据如何在内存中组织,并可用于解决典型的面向对象设计问题。然而,它的采用并不是微不足道的,因为大多数软件开发人员习惯于考虑对象的关系,而不是数据组织。实体-组件设计模式可以作为一种有效的替代方案。它包括将问题分解为一组实体及其组件(属性)。本文讨论了主要的面向数据的设计概念,它们如何提高软件质量,以及如何在物理设计问题的背景下使用它们。为了评估这个编程模型,我们使用开源库Ophidian实现了一个实体-组件系统。两个物理设计任务的实验结果表明,对于具有良好数据局部性的问题,面向数据的设计比面向对象的设计要快得多,而对于其他类型的问题,面向数据的设计只稍微慢一些。
{"title":"How Game Engines Can Inspire EDA Tools Development: A use case for an open-source physical design library","authors":"T. Fontana, R. Netto, Vinicius S. Livramento, C. Guth, S. Almeida, L. Pilla, José Luís Almada Güntzel","doi":"10.1145/3036669.3038248","DOIUrl":"https://doi.org/10.1145/3036669.3038248","url":null,"abstract":"Similarly to game engines, physical design tools must handle huge amounts of data. Although the game industry has been employing modern software development concepts such as data-oriented design, most physical design tools still relies on object-oriented design. Differently from object-oriented design, data-oriented design focuses on how data is organized in memory and can be used to solve typical object-oriented design problems. However, its adoption is not trivial because most software developers are used to think about objects' relationships rather than data organization. The entity-component design pattern can be used as an efficient alternative. It consists in decomposing a problem into a set of entities and their components (properties). This paper discusses the main data-oriented design concepts, how they improve software quality and how they can be used in the context of physical design problems. In order to evaluate this programming model, we implemented an entity-component system using the open-source library Ophidian. Experimental results for two physical design tasks show that data-oriented design is much faster than object-oriented design for problems with good data locality, while been only sightly slower for other kinds of problems.","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126294857","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Proceedings of the 2017 ACM on International Symposium on Physical Design 2017年ACM物理设计国际研讨会论文集
{"title":"Proceedings of the 2017 ACM on International Symposium on Physical Design","authors":"","doi":"10.1145/3036669","DOIUrl":"https://doi.org/10.1145/3036669","url":null,"abstract":"","PeriodicalId":269197,"journal":{"name":"Proceedings of the 2017 ACM on International Symposium on Physical Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127510259","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Proceedings of the 2017 ACM on International Symposium on Physical Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1