首页 > 最新文献

14th Asian Test Symposium (ATS'05)最新文献

英文 中文
Leakage Current Based Stabilization Scheme for Robust Sense-Amplifier Design for Yield Enhancement in Nano-scale SRAM 基于泄漏电流的增强纳米SRAM良率的鲁棒感测放大器设计方案
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.73
S. Mukhopadhyay, A. Raychowdhury, H. Mahmoodi, K. Roy
In this paper, we develop a method to analyze the probability of access failure in SRAM array (due to random Vt variation in transistors) by jointly considering variations in cell and senseamplifiers. Our analysis shows that, improving robustness of senseamplifier is extremely important for reducing memory access failure probability and improving yield. We present a process variation tolerant sense amplifier suitable for SRAM array designed in sub- 100nm CMOS technologies. The proposed technique reduces the failure probability of sense amplifiers by more than 80% with negligible penalty in the sensing delay.
在本文中,我们开发了一种方法来分析SRAM阵列中访问失败的概率(由于晶体管的随机Vt变化),通过联合考虑单元和传感器放大器的变化。分析表明,提高传感器放大器的鲁棒性对于降低存储器访问失效概率和提高成品率至关重要。我们提出了一种适用于亚100nm CMOS技术的SRAM阵列的工艺变化容限感测放大器。该技术将传感放大器的故障概率降低了80%以上,而传感延迟的损失可以忽略不计。
{"title":"Leakage Current Based Stabilization Scheme for Robust Sense-Amplifier Design for Yield Enhancement in Nano-scale SRAM","authors":"S. Mukhopadhyay, A. Raychowdhury, H. Mahmoodi, K. Roy","doi":"10.1109/ATS.2005.73","DOIUrl":"https://doi.org/10.1109/ATS.2005.73","url":null,"abstract":"In this paper, we develop a method to analyze the probability of access failure in SRAM array (due to random Vt variation in transistors) by jointly considering variations in cell and senseamplifiers. Our analysis shows that, improving robustness of senseamplifier is extremely important for reducing memory access failure probability and improving yield. We present a process variation tolerant sense amplifier suitable for SRAM array designed in sub- 100nm CMOS technologies. The proposed technique reduces the failure probability of sense amplifiers by more than 80% with negligible penalty in the sensing delay.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"92 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123219812","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
T2: Statistical Methods for VLSI Test and Burn-in Optimization T2: VLSI测试和老化优化的统计方法
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.104
A. Singh
VLSI circuits have been traditionally tested individually following manufacture; the same tests being applied to all ICs. However, as manufacturing test costs continue to show a disproportionate increase in relation to IC fabrication costs, innovative new statistical methods are being introduced to optimize testing. Such methods fall into two broad categories: those that exploit statistical information in regard to the variation of process parameters on wafers, and those that exploit the statistics of defect distributions on wafers. This tutorial presents test methodologies that span both these categories and illustrate their effectiveness with experimental results from a number of recent studies on production circuits from LSI Logic, IBM, Intel and TI
VLSI电路传统上在制造后单独测试;对所有ic应用相同的测试。然而,随着制造测试成本继续显示出与IC制造成本不成比例的增长,正在引入创新的新统计方法来优化测试。这些方法可分为两大类:一类是利用晶圆上工艺参数变化的统计信息,另一类是利用晶圆上缺陷分布的统计信息。本教程介绍了跨越这两个类别的测试方法,并通过LSI Logic, IBM, Intel和TI最近在生产电路上的一些研究的实验结果说明了它们的有效性
{"title":"T2: Statistical Methods for VLSI Test and Burn-in Optimization","authors":"A. Singh","doi":"10.1109/ATS.2005.104","DOIUrl":"https://doi.org/10.1109/ATS.2005.104","url":null,"abstract":"VLSI circuits have been traditionally tested individually following manufacture; the same tests being applied to all ICs. However, as manufacturing test costs continue to show a disproportionate increase in relation to IC fabrication costs, innovative new statistical methods are being introduced to optimize testing. Such methods fall into two broad categories: those that exploit statistical information in regard to the variation of process parameters on wafers, and those that exploit the statistics of defect distributions on wafers. This tutorial presents test methodologies that span both these categories and illustrate their effectiveness with experimental results from a number of recent studies on production circuits from LSI Logic, IBM, Intel and TI","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116617595","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Efficient Test Architecture based on Boundary Scan for Comprehensive System Test 基于边界扫描的综合系统测试高效测试体系结构
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.54
T. Chakraborty
As electronic systems are becoming more complex with higher performance and require higher reliability, system test is becoming a very challenging task. Traditionally, functional test has been used to detect various design and manufacturing defects for electronic systems. However, functional test doesn’t work efficiently for large and complex systems specially when debugging and diagnosis of failure conditions is targeted. Boundary scan based test technology is being used for testing circuit boards in the industry for over a decade after being standardized by IEEE. This technology provides an access path to all the pins on all boundary scan-able chips on a circuit board.
随着电子系统越来越复杂,性能越来越高,对可靠性的要求也越来越高,系统测试成为一项非常具有挑战性的任务。传统上,功能测试被用来检测电子系统的各种设计和制造缺陷。然而,对于大型复杂的系统,功能测试并不能有效地发挥作用,特别是当需要对故障条件进行调试和诊断时。基于边界扫描的测试技术在被IEEE标准化后,已经在业界应用了十多年。该技术为电路板上所有可边界扫描芯片上的所有引脚提供了访问路径。
{"title":"Efficient Test Architecture based on Boundary Scan for Comprehensive System Test","authors":"T. Chakraborty","doi":"10.1109/ATS.2005.54","DOIUrl":"https://doi.org/10.1109/ATS.2005.54","url":null,"abstract":"As electronic systems are becoming more complex with higher performance and require higher reliability, system test is becoming a very challenging task. Traditionally, functional test has been used to detect various design and manufacturing defects for electronic systems. However, functional test doesn’t work efficiently for large and complex systems specially when debugging and diagnosis of failure conditions is targeted. Boundary scan based test technology is being used for testing circuit boards in the industry for over a decade after being standardized by IEEE. This technology provides an access path to all the pins on all boundary scan-able chips on a circuit board.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130631319","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SOC Test Scheduling with Test Set Sharing and Broadcasting SOC测试调度与测试集共享和广播
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.100
Anders Larsson, E. Larsson, P. Eles, Zebo Peng
Due to the increasing test data volume needed to test corebased System-on-Chip, several test scheduling techniques minimizing the test application time have been proposed. In contrast to approaches where a fixed test set for each core is assumed, we explore the possibility to use overlapping test patterns from the tests in the system. The overlapping tests serves as alternatives to the original dedicated test for the cores and, if selected, they are transported to the cores in a broadcasted manner so that several cores are tested concurrently. We have made use of a Constraint Logic Programming technique to select suitable tests for each core in the system and schedule the selected tests such that the test application time is minimized while designer-specified hardware constraints are satisfied. The experimental results indicate that we can on average reduce the test application time with 23%.
由于测试基于内核的片上系统所需的测试数据量不断增加,人们提出了几种最小化测试应用时间的测试调度技术。与假设每个核心都有固定的测试集的方法相反,我们探索了使用系统中测试的重叠测试模式的可能性。重叠测试作为原始核心专用测试的替代方案,如果选择了重叠测试,则以广播方式将它们传输到核心,以便同时测试多个核心。我们使用约束逻辑编程技术为系统中的每个核心选择合适的测试,并安排所选测试,以便在满足设计人员指定的硬件约束的情况下,最大限度地减少测试应用时间。实验结果表明,该方法平均可减少23%的测试应用时间。
{"title":"SOC Test Scheduling with Test Set Sharing and Broadcasting","authors":"Anders Larsson, E. Larsson, P. Eles, Zebo Peng","doi":"10.1109/ATS.2005.100","DOIUrl":"https://doi.org/10.1109/ATS.2005.100","url":null,"abstract":"Due to the increasing test data volume needed to test corebased System-on-Chip, several test scheduling techniques minimizing the test application time have been proposed. In contrast to approaches where a fixed test set for each core is assumed, we explore the possibility to use overlapping test patterns from the tests in the system. The overlapping tests serves as alternatives to the original dedicated test for the cores and, if selected, they are transported to the cores in a broadcasted manner so that several cores are tested concurrently. We have made use of a Constraint Logic Programming technique to select suitable tests for each core in the system and schedule the selected tests such that the test application time is minimized while designer-specified hardware constraints are satisfied. The experimental results indicate that we can on average reduce the test application time with 23%.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125596162","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A New Low Power Test Pattern Generator using a Transition Monitoring Window based on BIST Architecture 一种基于BIST架构的转换监控窗口的低功耗测试模式发生器
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.12
Youbean Kim, M. Yang, Yong Lee, Sungho Kang
This paper presents a new low power BIST TPG scheme. It uses a transition monitoring window (TMW) that is comprised of a transition monitoring window block and a MUX. When random test patterns are generated by an LFSR, transitions of those patterns satisfy pseudo-random Gaussian distribution. The proposed technique represses transitions of patterns using the k-value which is a standard that is obtained from the distribution of TMW to observe over transitive patterns causing high power dissipation in a scan chain. Experimental results show that the proposed BIST TPG schemes can reduce scan transition by about 60% without performance loss in ISCAS’89 benchmark circuits that have large number scan inputs.
提出了一种新的低功耗BIST TPG方案。它使用一个过渡监视窗口(TMW),该窗口由一个过渡监视窗口块和一个MUX组成。当LFSR生成随机测试模式时,这些模式的过渡满足伪随机高斯分布。该技术使用k值来抑制模式的转移,k值是由TMW分布获得的标准值,用于观察扫描链中导致高功耗的过传递模式。实验结果表明,在具有大量扫描输入的ISCAS’89基准电路中,所提出的BIST TPG方案可以在不损失性能的情况下减少约60%的扫描跃迁。
{"title":"A New Low Power Test Pattern Generator using a Transition Monitoring Window based on BIST Architecture","authors":"Youbean Kim, M. Yang, Yong Lee, Sungho Kang","doi":"10.1109/ATS.2005.12","DOIUrl":"https://doi.org/10.1109/ATS.2005.12","url":null,"abstract":"This paper presents a new low power BIST TPG scheme. It uses a transition monitoring window (TMW) that is comprised of a transition monitoring window block and a MUX. When random test patterns are generated by an LFSR, transitions of those patterns satisfy pseudo-random Gaussian distribution. The proposed technique represses transitions of patterns using the k-value which is a standard that is obtained from the distribution of TMW to observe over transitive patterns causing high power dissipation in a scan chain. Experimental results show that the proposed BIST TPG schemes can reduce scan transition by about 60% without performance loss in ISCAS’89 benchmark circuits that have large number scan inputs.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"19 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122225261","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Achieving High Test Quality with Reduced Pin Count Testing 通过减少引脚数测试实现高测试质量
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.19
J. Jahangiri, N. Mukherjee, Wu-Tung Cheng, S. Mahadevan, R. Press
Reduced pin count testing (RPCT) has proven to be an effective solution to reduce structural test costs in a manufacturing environment. Traditionally, RPCT has focused on stuck-at faults and IO loop-back tests. However, as circuit feature sizes shrink and new technology nodes employed, at-speed tests are becoming critical to assure low defect levels. In this paper, we extend the RPCT technique to allow application of atspeed test patterns using low cost testers that are seriously pin limited. Existing boundary scan cells are modified to facilitate the application of at-speed patterns thereby having minimal impact on the design and test area overhead.
减少引脚数测试(RPCT)已被证明是降低制造环境中结构测试成本的有效解决方案。传统上,RPCT侧重于卡在故障和IO回滚测试。然而,随着电路特征尺寸的缩小和新技术节点的采用,高速测试对于确保低缺陷水平变得至关重要。在本文中,我们扩展了RPCT技术,允许使用引脚严重受限的低成本测试器应用高速测试模式。现有的边界扫描单元进行了修改,以促进高速模式的应用,从而对设计和测试区域开销的影响最小。
{"title":"Achieving High Test Quality with Reduced Pin Count Testing","authors":"J. Jahangiri, N. Mukherjee, Wu-Tung Cheng, S. Mahadevan, R. Press","doi":"10.1109/ATS.2005.19","DOIUrl":"https://doi.org/10.1109/ATS.2005.19","url":null,"abstract":"Reduced pin count testing (RPCT) has proven to be an effective solution to reduce structural test costs in a manufacturing environment. Traditionally, RPCT has focused on stuck-at faults and IO loop-back tests. However, as circuit feature sizes shrink and new technology nodes employed, at-speed tests are becoming critical to assure low defect levels. In this paper, we extend the RPCT technique to allow application of atspeed test patterns using low cost testers that are seriously pin limited. Existing boundary scan cells are modified to facilitate the application of at-speed patterns thereby having minimal impact on the design and test area overhead.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"22 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131230500","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
Improving Test Quality Using Test Data Compression 使用测试数据压缩提高测试质量
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.70
N. Mukherjee
In this talk, the EDT technology was introduced briefly along with a description of the hardware and the methodology used to achieve high test-data compression. The advantages of the approach in terms of encoding capacity, ability to handle unknowns, minimal hardware overhead, and close resemblance to a conventional ATPG flow were discussed. Since the technology requires very few pins to drive the decompressor from an ATE and observe responses at the output, it is attractive for burn-in test, core test, multisite testing, and is suitable for parts tested on VLCTs. The presentation touched upon these test techniques that directly benefit from using the proposed solution. With newer technology nodes, diagnosis is becoming critical for yield ramp up, faster time to volume, and first silicon debug. No compression solution is complete without an easy way to diagnose failures during manufacturing test. The ability to perform direct diagnosis from compressed patterns within the EDT framework were presented
在这次演讲中,简要介绍了EDT技术,并描述了用于实现高测试数据压缩的硬件和方法。讨论了该方法在编码容量、处理未知的能力、最小的硬件开销以及与传统的ATPG流非常相似方面的优点。由于该技术需要很少的引脚来驱动ATE的减压器并观察输出的响应,因此对于老化测试,核心测试,多站点测试具有吸引力,并且适用于在vlct上测试的部件。演讲触及了这些测试技术,它们直接受益于使用所建议的解决方案。随着新技术节点的出现,诊断对于提高产量、加快批量生产和首次硅调试变得越来越重要。没有一种简单的方法来诊断制造测试过程中的故障,任何压缩解决方案都是不完整的。提出了在EDT框架内从压缩模式执行直接诊断的能力
{"title":"Improving Test Quality Using Test Data Compression","authors":"N. Mukherjee","doi":"10.1109/ATS.2005.70","DOIUrl":"https://doi.org/10.1109/ATS.2005.70","url":null,"abstract":"In this talk, the EDT technology was introduced briefly along with a description of the hardware and the methodology used to achieve high test-data compression. The advantages of the approach in terms of encoding capacity, ability to handle unknowns, minimal hardware overhead, and close resemblance to a conventional ATPG flow were discussed. Since the technology requires very few pins to drive the decompressor from an ATE and observe responses at the output, it is attractive for burn-in test, core test, multisite testing, and is suitable for parts tested on VLCTs. The presentation touched upon these test techniques that directly benefit from using the proposed solution. With newer technology nodes, diagnosis is becoming critical for yield ramp up, faster time to volume, and first silicon debug. No compression solution is complete without an easy way to diagnose failures during manufacturing test. The ability to perform direct diagnosis from compressed patterns within the EDT framework were presented","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"109 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133518781","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Unified Approach to Partial Scan Design using Genetic Algorithm 基于遗传算法的局部扫描统一设计方法
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.18
Varun Arora, I. Sengupta
In the present day, most of the designs for testability (DFT) strategies are based on full and partial scan designs. Different methods are used to select the flip-flops for the scan path, which are based on the structure of the circuit, and some testability measures. However, most of the methods just focus on a single method and at most two for partial scan path design. In this paper, we propose a new approach for selection of flip-flops in partial scan path design. We try to incorporate three different methods into one and optimize them using genetic algorithm. The testability approach is used to estimate how the selection of a particular flip-flop affects its neighboring flip-flops. Focus is also given to those flip-flops whose selection tends to break maximum number of cycles. Finally we try to optimize is to minimize the overall power consumption of the modified circuit. The experimental results show that though it is not always possible to improve upon the performances of techniques which focus only on single objective, on an average fairly good results are obtained in terms of fault coverage, number of vectors and the power consumption.
目前,大多数可测试性(DFT)策略的设计都是基于全扫描和部分扫描设计。根据电路的结构和一些可测试性措施,采用不同的方法来选择扫描路径的触发器。然而,对于部分扫描路径的设计,大多数方法只关注一种方法,最多两种方法。本文提出了一种在部分扫描路径设计中选择触发器的新方法。我们尝试将三种不同的方法合并为一种,并使用遗传算法对其进行优化。可测试性方法用于估计特定触发器的选择如何影响其相邻触发器。我们还将重点放在那些选择往往会打破最大循环次数的人字拖上。最后我们尝试优化的是使修改后的电路的总功耗最小化。实验结果表明,虽然仅关注单个目标的技术的性能并不总是有可能提高,但在故障覆盖率、向量数量和功耗方面,平均而言取得了相当好的结果。
{"title":"A Unified Approach to Partial Scan Design using Genetic Algorithm","authors":"Varun Arora, I. Sengupta","doi":"10.1109/ATS.2005.18","DOIUrl":"https://doi.org/10.1109/ATS.2005.18","url":null,"abstract":"In the present day, most of the designs for testability (DFT) strategies are based on full and partial scan designs. Different methods are used to select the flip-flops for the scan path, which are based on the structure of the circuit, and some testability measures. However, most of the methods just focus on a single method and at most two for partial scan path design. In this paper, we propose a new approach for selection of flip-flops in partial scan path design. We try to incorporate three different methods into one and optimize them using genetic algorithm. The testability approach is used to estimate how the selection of a particular flip-flop affects its neighboring flip-flops. Focus is also given to those flip-flops whose selection tends to break maximum number of cycles. Finally we try to optimize is to minimize the overall power consumption of the modified circuit. The experimental results show that though it is not always possible to improve upon the performances of techniques which focus only on single objective, on an average fairly good results are obtained in terms of fault coverage, number of vectors and the power consumption.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"90 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133536884","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Faults and Tests in Quantum Circuits 量子电路中的故障和测试
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.59
J. Hayes
Quantum computing is a recently developed approach to information processing, which is based on quantum mechanics rather than classical physics. Information is represented by quantum bits (qubits) that correspond to microscopic states such as photon polarization. Up to 2n n-bit words can be stored simultaneously in n qubits, implying a type of massive parallelism. Powerful forms of quantum interaction such as interference and entanglement exist which have no counterparts in classical computer science. Some important and hitherto intractable problems such as prime factorization of large numbers can be solved efficiently using quantum methods. In practice, however, quantum computing devices and circuits are extremely difficult to design and build, since they are nanoscale in size and operate at very low energy levels. Consequently, they have many more failure modes than classical (non-quantum) circuits. For example, quantum signal states are inherently unstable and tend to decay rapidly due to interaction with the environment (decoherence). Quantum gate operations are defined by continuous parameters that allow small errors to arise and propagate to other gates. Furthermore, state measurement is probabilistic and the measurement process itself affects the state being measured. This talk will review the history and development of quantum circuits, with emphasis on their failure modes and testing requirements. It will be seen that quantum circuits are highly testable for classical faults. However, they are also subject to various complex, nonclassical failure modes, which are still not well understood. Some methods for error correction and recovery that have been developed specifically for quantum circuits will also be reviewed.
量子计算是最近发展起来的一种信息处理方法,它基于量子力学而不是经典物理学。信息由量子比特表示,量子比特对应于微观状态,如光子偏振。多达2n个n位的单词可以同时存储在n个量子位中,这意味着一种大规模的并行性。量子相互作用的强大形式,如干涉和纠缠,在经典计算机科学中没有对应的存在。一些重要而棘手的问题,如大数的质因数分解,可以用量子方法有效地解决。然而,在实践中,量子计算设备和电路的设计和制造是极其困难的,因为它们的尺寸是纳米级的,并且在非常低的能量水平上运行。因此,它们比经典(非量子)电路有更多的失效模式。例如,量子信号状态本质上是不稳定的,并且由于与环境的相互作用(退相干)而倾向于迅速衰减。量子门操作由连续参数定义,允许出现小误差并传播到其他门。此外,状态测量是概率性的,测量过程本身会影响被测量的状态。本讲座将回顾量子电路的历史和发展,重点介绍其失效模式和测试要求。我们将看到量子电路对于经典故障是高度可测试的。然而,它们也受到各种复杂的、非经典的破坏模式的影响,这些破坏模式仍然没有得到很好的理解。本文还将回顾一些专门为量子电路开发的纠错和恢复方法。
{"title":"Faults and Tests in Quantum Circuits","authors":"J. Hayes","doi":"10.1109/ATS.2005.59","DOIUrl":"https://doi.org/10.1109/ATS.2005.59","url":null,"abstract":"Quantum computing is a recently developed approach to information processing, which is based on quantum mechanics rather than classical physics. Information is represented by quantum bits (qubits) that correspond to microscopic states such as photon polarization. Up to 2n n-bit words can be stored simultaneously in n qubits, implying a type of massive parallelism. Powerful forms of quantum interaction such as interference and entanglement exist which have no counterparts in classical computer science. Some important and hitherto intractable problems such as prime factorization of large numbers can be solved efficiently using quantum methods. In practice, however, quantum computing devices and circuits are extremely difficult to design and build, since they are nanoscale in size and operate at very low energy levels. Consequently, they have many more failure modes than classical (non-quantum) circuits. For example, quantum signal states are inherently unstable and tend to decay rapidly due to interaction with the environment (decoherence). Quantum gate operations are defined by continuous parameters that allow small errors to arise and propagate to other gates. Furthermore, state measurement is probabilistic and the measurement process itself affects the state being measured. This talk will review the history and development of quantum circuits, with emphasis on their failure modes and testing requirements. It will be seen that quantum circuits are highly testable for classical faults. However, they are also subject to various complex, nonclassical failure modes, which are still not well understood. Some methods for error correction and recovery that have been developed specifically for quantum circuits will also be reviewed.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115417865","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Framework for Automatic Assembly Program Generator (A^2PG) for Verification and Testing of Processor Cores 一种用于处理器核心验证与测试的自动装配程序生成器(a2pg)框架
Pub Date : 2005-12-18 DOI: 10.1109/ATS.2005.10
K. Bhaskar, M. Prasanth, V. Kamakoti, Kailasnath Maneparambil
Pre-silicon functional design verification, performance measurements and post-silicon functional testing of processor cores consume the major portion of time and cost investment in any concept-to-silicon design flow. Most of the tools reported in the literature are based on function/faultindependent test generation schemes which cannot be effectively employed for verification or testing of specific functional behavior or for generating inputs for performance measurement of a specific parameter or functional unit in the design. In addition, the crucial bottleneck with existing tools is their scalability with larger designs. It is wellstudied and reported in the literature that for a tool to be scalable with larger designs, it is important to handle the design at higher levels of abstraction, typically, at the RTL level. In this paper, we present an Automatic Assembly Program Generator (A^2 PG), that handles the design at the behavioral RTL level and is based on function-oriented test generation schemes, hence making it scalable and usable for some specific tasks as mentioned above.
在任何从概念到硅的设计流程中,前置硅功能设计验证、性能测量和处理器内核的后硅功能测试消耗了大部分时间和成本投资。文献中报道的大多数工具都是基于功能/故障无关的测试生成方案,不能有效地用于验证或测试特定的功能行为,也不能为设计中特定参数或功能单元的性能测量生成输入。此外,现有工具的关键瓶颈是它们在更大设计中的可伸缩性。在文献中有很好的研究和报道,为了使工具能够与更大的设计进行扩展,在更高的抽象级别(通常是在RTL级别)处理设计是很重要的。在本文中,我们提出了一个自动组装程序生成器(a2pg),它在行为RTL级别处理设计,并基于面向功能的测试生成方案,因此使其可扩展并可用于上述某些特定任务。
{"title":"A Framework for Automatic Assembly Program Generator (A^2PG) for Verification and Testing of Processor Cores","authors":"K. Bhaskar, M. Prasanth, V. Kamakoti, Kailasnath Maneparambil","doi":"10.1109/ATS.2005.10","DOIUrl":"https://doi.org/10.1109/ATS.2005.10","url":null,"abstract":"Pre-silicon functional design verification, performance measurements and post-silicon functional testing of processor cores consume the major portion of time and cost investment in any concept-to-silicon design flow. Most of the tools reported in the literature are based on function/faultindependent test generation schemes which cannot be effectively employed for verification or testing of specific functional behavior or for generating inputs for performance measurement of a specific parameter or functional unit in the design. In addition, the crucial bottleneck with existing tools is their scalability with larger designs. It is wellstudied and reported in the literature that for a tool to be scalable with larger designs, it is important to handle the design at higher levels of abstraction, typically, at the RTL level. In this paper, we present an Automatic Assembly Program Generator (A^2 PG), that handles the design at the behavioral RTL level and is based on function-oriented test generation schemes, hence making it scalable and usable for some specific tasks as mentioned above.","PeriodicalId":373563,"journal":{"name":"14th Asian Test Symposium (ATS'05)","volume":"125 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2005-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114907365","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
14th Asian Test Symposium (ATS'05)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1