首页 > 最新文献

2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)最新文献

英文 中文
A low power duobinary voltage mode transmitter 一种低功率双电压模式发射机
Pub Date : 2017-08-11 DOI: 10.1109/ISLPED.2017.8009205
Ming-Hung Chien, Yen-Long Lee, J. Goh, Soon-Jyh Chang
This paper presents a novel low power duobinary voltage mode transmitter in 90-nm CMOS process for wireline communication. As a matter of fact, voltage mode transmitters potentially save much more power than current mode transmitters. By adding a medium level, a half supply voltage, to conventional NRZ voltage mode transmitters, duobinary coding can simply be achieved. Post-layout simulation demonstrates the architecture with a new preemphasis method dissipates approximately 16.35 mW from a 1.0 V supply when transmitting 8 Gb/s 1.0 V differential amplitude data with 2-tap pre-emphasis, achieving 2.04 pJ/bit energy efficiency.
提出了一种新型的低功耗双电压型有线通信发射机,采用90纳米CMOS工艺。事实上,电压模式变送器比电流模式变送器潜在地节省更多的功率。通过在传统的NRZ电压模式发射机上增加一个中电平,即一半的电源电压,可以简单地实现二进制编码。布局后仿真验证了该架构采用一种新的预强调方法,当使用2分路预强调传输8gb /s的1.0 V差分幅度数据时,从1.0 V电源消耗约16.35 mW,实现2.04 pJ/bit的能效。
{"title":"A low power duobinary voltage mode transmitter","authors":"Ming-Hung Chien, Yen-Long Lee, J. Goh, Soon-Jyh Chang","doi":"10.1109/ISLPED.2017.8009205","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009205","url":null,"abstract":"This paper presents a novel low power duobinary voltage mode transmitter in 90-nm CMOS process for wireline communication. As a matter of fact, voltage mode transmitters potentially save much more power than current mode transmitters. By adding a medium level, a half supply voltage, to conventional NRZ voltage mode transmitters, duobinary coding can simply be achieved. Post-layout simulation demonstrates the architecture with a new preemphasis method dissipates approximately 16.35 mW from a 1.0 V supply when transmitting 8 Gb/s 1.0 V differential amplitude data with 2-tap pre-emphasis, achieving 2.04 pJ/bit energy efficiency.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-08-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134339691","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Tutorial: Tiny light-harvesting photovoltaic charger-supplies 教程:微型光收集光伏充电器
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009152
G. Rincón-Mora
A fundamental challenge wireless microsystems face is size, and in consequence, lifetime because tiny batteries exhaust quickly. Although small fuel cells and atomic sources store more energy than lithium-ion batteries and super capacitors, they source less power, so they cannot power as many functions. Small batteries and capacitors, however, cannot sustain life for long. Thankfully, the environment holds vast amounts of energy. And of typical sources like light, motion, temperature, and radiation, sunlight produces the highest power density, but only when available. Combining photovoltaic (PV) cells with tiny batteries or capacitors can therefore be more compact, reliable, and longer lasting than any one of these technologies alone. Managing a hybrid system of this sort to supply a milliwatt application, however, requires an intelligent, low-loss charger-supply system. This talk surveys and describes how smart PV-sourced microsystems can draw power from tiny PV cells and supplementary power from small batteries to supply a load and replenish the battery with excess PV power. To that end, the material reviews and discusses miniaturized PV cells, power-efficient charger-supply circuits, and reliable feedback controllers. The presentation ends with measurement results from a prototyped example.
无线微系统面临的一个基本挑战是尺寸,以及寿命,因为微型电池很快就会耗尽。尽管小型燃料电池和原子源比锂离子电池和超级电容器储存更多的能量,但它们产生的能量较少,因此无法为许多功能提供动力。然而,小型电池和电容器不能长时间维持寿命。幸运的是,环境中蕴藏着大量的能量。在光、运动、温度和辐射等典型光源中,阳光产生的功率密度最高,但只有在可用的情况下。因此,将光伏(PV)电池与微型电池或电容器相结合,比单独使用这些技术中的任何一种都更紧凑、更可靠、更持久。然而,管理这种混合系统来提供毫瓦的应用,需要一个智能的,低损耗的充电器供应系统。这次演讲调查并描述了智能光伏微系统如何从微型光伏电池中获取电力,并从小型电池中获取补充电力,为负载供电,并为电池补充多余的光伏电力。为此,该材料回顾并讨论了小型化PV电池,节能充电器供电电路和可靠的反馈控制器。演示以原型示例的测量结果结束。
{"title":"Tutorial: Tiny light-harvesting photovoltaic charger-supplies","authors":"G. Rincón-Mora","doi":"10.1109/ISLPED.2017.8009152","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009152","url":null,"abstract":"A fundamental challenge wireless microsystems face is size, and in consequence, lifetime because tiny batteries exhaust quickly. Although small fuel cells and atomic sources store more energy than lithium-ion batteries and super capacitors, they source less power, so they cannot power as many functions. Small batteries and capacitors, however, cannot sustain life for long. Thankfully, the environment holds vast amounts of energy. And of typical sources like light, motion, temperature, and radiation, sunlight produces the highest power density, but only when available. Combining photovoltaic (PV) cells with tiny batteries or capacitors can therefore be more compact, reliable, and longer lasting than any one of these technologies alone. Managing a hybrid system of this sort to supply a milliwatt application, however, requires an intelligent, low-loss charger-supply system. This talk surveys and describes how smart PV-sourced microsystems can draw power from tiny PV cells and supplementary power from small batteries to supply a load and replenish the battery with excess PV power. To that end, the material reviews and discusses miniaturized PV cells, power-efficient charger-supply circuits, and reliable feedback controllers. The presentation ends with measurement results from a prototyped example.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120901338","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Charge recycled low power SRAM with integrated write and read assist, for wearable electronics, designed in 7nm FinFET 充电回收低功耗SRAM集成写入和读取辅助,用于可穿戴电子产品,在7nm FinFET设计
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009154
V. Nautiyal, G. Singla, Satinderjit Singh, F. Bohra, J. Dasani, Lalita Gupta, S. Dwivedi
A recent trend in the electronics industry is the concept of intelligent wearables and the Internet of Things (IoT) such as smart watches, fitness trackers, smart sensors, and smart glasses. Low power consumption is a requirement of the processors and the increasingly large embedded SRAMs in such devices. Lowering the operating voltage of these devices makes them low power. However, process variation at lower voltages causes read and write reliability issues in SRAM bitcells. To overcome issues in SRAM reliability, we present a novel, integrated read and write assist scheme with area and power savings as compared to existing state of the art schemes. For write assist, we inject negative bitline bias to raise the gate to source voltage of the bitcell access transistor, improving write margin. For read assist, we lower bitline precharge levels by adding one transistor per bitline column. Area and power savings come from capacitance sharing between the read and write assist circuitry and by precharging bitlines using recycled charged. In our SRAM implementation of the proposed circuit, we found as high as 10% dynamic power savings during bitline precharging. Using negative bitline techniques further reduced power consumption through an approximately 150mV reduction in operating voltage. Depending on the read and write margin requirements, capacitors in the design can be programmable and isolated for flexibility. The SRAM array presented in this paper also utilizes a variety of leakage reduction modes. In terms of area, for a 16384×72m8fb8 memory instance, the area overhead was only 1.7%. SRAMs using the low power, low area overhead assist scheme and the leakage reduction modes can have significant applications in wearable electronics and IoT.
智能手表、健身追踪器、智能传感器、智能眼镜等智能可穿戴设备和物联网(IoT)概念是电子行业最近的一个趋势。低功耗是处理器和这类设备中越来越大的嵌入式ram的要求。降低这些设备的工作电压可以降低它们的功耗。然而,低电压下的工艺变化会导致SRAM位单元的读写可靠性问题。为了克服SRAM可靠性方面的问题,我们提出了一种新颖的集成读写辅助方案,与现有的最先进方案相比,该方案具有面积和功耗节省。对于写入辅助,我们注入负位线偏置以提高位单元存取晶体管的栅源电压,提高写入裕度。对于读辅助,我们通过在每个位线列中增加一个晶体管来降低位线预充水平。面积和功率的节省来自读写辅助电路之间的电容共享,以及使用回收充电对位线进行预充电。在我们提出的电路的SRAM实现中,我们发现在位线预充电期间可节省高达10%的动态功率。使用负位线技术,通过降低大约150mV的工作电压,进一步降低了功耗。根据读写余量要求,设计中的电容器可以是可编程和隔离的,以提高灵活性。本文提出的SRAM阵列还采用了多种减少泄漏的模式。就面积而言,对于16384×72m8fb8内存实例,面积开销仅为1.7%。采用低功耗,低面积开销辅助方案和减少泄漏模式的sram可以在可穿戴电子产品和物联网中具有重要应用。
{"title":"Charge recycled low power SRAM with integrated write and read assist, for wearable electronics, designed in 7nm FinFET","authors":"V. Nautiyal, G. Singla, Satinderjit Singh, F. Bohra, J. Dasani, Lalita Gupta, S. Dwivedi","doi":"10.1109/ISLPED.2017.8009154","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009154","url":null,"abstract":"A recent trend in the electronics industry is the concept of intelligent wearables and the Internet of Things (IoT) such as smart watches, fitness trackers, smart sensors, and smart glasses. Low power consumption is a requirement of the processors and the increasingly large embedded SRAMs in such devices. Lowering the operating voltage of these devices makes them low power. However, process variation at lower voltages causes read and write reliability issues in SRAM bitcells. To overcome issues in SRAM reliability, we present a novel, integrated read and write assist scheme with area and power savings as compared to existing state of the art schemes. For write assist, we inject negative bitline bias to raise the gate to source voltage of the bitcell access transistor, improving write margin. For read assist, we lower bitline precharge levels by adding one transistor per bitline column. Area and power savings come from capacitance sharing between the read and write assist circuitry and by precharging bitlines using recycled charged. In our SRAM implementation of the proposed circuit, we found as high as 10% dynamic power savings during bitline precharging. Using negative bitline techniques further reduced power consumption through an approximately 150mV reduction in operating voltage. Depending on the read and write margin requirements, capacitors in the design can be programmable and isolated for flexibility. The SRAM array presented in this paper also utilizes a variety of leakage reduction modes. In terms of area, for a 16384×72m8fb8 memory instance, the area overhead was only 1.7%. SRAMs using the low power, low area overhead assist scheme and the leakage reduction modes can have significant applications in wearable electronics and IoT.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129697636","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Comparative study and optimization of synchronous and asynchronous comparators at near-threshold voltages 近阈值电压下同步与异步比较器的比较研究与优化
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009169
S. Kim, Doyun Kim, Mingoo Seok
We optimize and compare the performance of synchronous and asynchronous comparators across near-threshold and nominal supply voltage (0.5∼1V). Comparators are the key components that determine the fundamental performance of analog-to-digital conversion in control and digital-signal processing (DSP) systems. While the asynchronous comparator has been considered inferior, operation of transistors in the near-threshold regime grants asynchronous comparators opportunities to improve power efficiency due to the more reduction in crowbar current than saturation drain current. We propose an enhanced asynchronous CSDA based comparator capable of achieving a superior latency vs. quiescent power dissipation trade-off to the synchronous clocked comparator in the near-threshold regime, a metric that is beneficial particularly to event-driven control systems. In-depth optimization and comparison results are presented.
我们优化并比较了同步和异步比较器在近阈值和标称电源电压(0.5 ~ 1V)下的性能。比较器是控制和数字信号处理(DSP)系统中决定模数转换基本性能的关键部件。虽然异步比较器被认为是较差的,但在近阈值状态下晶体管的操作使异步比较器有机会提高功率效率,因为撬锁电流比饱和漏极电流减少得更多。我们提出了一种增强的基于异步CSDA的比较器,能够在接近阈值的情况下实现与同步时钟比较器相比更好的延迟与静态功耗权衡,这一度量对事件驱动的控制系统特别有益。给出了深入优化和比较结果。
{"title":"Comparative study and optimization of synchronous and asynchronous comparators at near-threshold voltages","authors":"S. Kim, Doyun Kim, Mingoo Seok","doi":"10.1109/ISLPED.2017.8009169","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009169","url":null,"abstract":"We optimize and compare the performance of synchronous and asynchronous comparators across near-threshold and nominal supply voltage (0.5∼1V). Comparators are the key components that determine the fundamental performance of analog-to-digital conversion in control and digital-signal processing (DSP) systems. While the asynchronous comparator has been considered inferior, operation of transistors in the near-threshold regime grants asynchronous comparators opportunities to improve power efficiency due to the more reduction in crowbar current than saturation drain current. We propose an enhanced asynchronous CSDA based comparator capable of achieving a superior latency vs. quiescent power dissipation trade-off to the synchronous clocked comparator in the near-threshold regime, a metric that is beneficial particularly to event-driven control systems. In-depth optimization and comparison results are presented.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126244902","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
XNOR-POP: A processing-in-memory architecture for binary Convolutional Neural Networks in Wide-IO2 DRAMs XNOR-POP:一种用于宽io2 dram的二进制卷积神经网络的内存处理架构
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009163
Lei Jiang, Minje Kim, Wujie Wen, Danghui Wang
It is challenging to adopt computing-intensive and parameter-rich Convolutional Neural Networks (CNNs) in mobile devices due to limited hardware resources and low power budgets. To support multiple concurrently running applications, one mobile device needs to perform multiple CNN tests simultaneously in real-time. Previous solutions cannot guarantee a high enough frame rate when serving multiple applications with reasonable hardware and power cost. In this paper, we present a novel process-in-memory architecture to process emerging binary CNN tests in Wide-IO2 DRAMs. Compared to state-of-the-art accelerators, our design improves CNN test performance by 4× ∼ 11× with small hardware and power overhead.
由于有限的硬件资源和较低的功耗预算,在移动设备中采用计算密集型和参数丰富的卷积神经网络(cnn)是一个挑战。为了支持多个并发运行的应用程序,一台移动设备需要同时实时执行多个CNN测试。以前的解决方案无法保证在合理的硬件和电源成本下服务于多个应用程序时具有足够高的帧率。在本文中,我们提出了一种新的内存中进程架构来处理新兴的二进制CNN测试在Wide-IO2 dram。与最先进的加速器相比,我们的设计以较小的硬件和功耗开销将CNN测试性能提高了4 ~ 11倍。
{"title":"XNOR-POP: A processing-in-memory architecture for binary Convolutional Neural Networks in Wide-IO2 DRAMs","authors":"Lei Jiang, Minje Kim, Wujie Wen, Danghui Wang","doi":"10.1109/ISLPED.2017.8009163","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009163","url":null,"abstract":"It is challenging to adopt computing-intensive and parameter-rich Convolutional Neural Networks (CNNs) in mobile devices due to limited hardware resources and low power budgets. To support multiple concurrently running applications, one mobile device needs to perform multiple CNN tests simultaneously in real-time. Previous solutions cannot guarantee a high enough frame rate when serving multiple applications with reasonable hardware and power cost. In this paper, we present a novel process-in-memory architecture to process emerging binary CNN tests in Wide-IO2 DRAMs. Compared to state-of-the-art accelerators, our design improves CNN test performance by 4× ∼ 11× with small hardware and power overhead.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132516465","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 52
A carbon nanotube transistor based RISC-V processor using pass transistor logic 采用通管逻辑的基于碳纳米管晶体管的RISC-V处理器
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009156
Aporva Amarnath, Siying Feng, S. Pal, T. Ajayi, A. Rovinski, R. Dreslinski
With silicon-based transistors approaching their scaling limits, multiple successor technologies are competing for silicon's place. Due to recent fabrication breakthroughs, one promising alternative is the carbon nanotube field-effect transistor (CNTFET), which uses carbon nanotubes as the channel medium instead of silicon. Although logic gates using CNTFETs have been demonstrated to provide up to an order of magnitude better energy-delay product (EDP) over silicon-based counterparts, system-level design using CNTFETs show significantly smaller EDP improvement because of the critical path of the design, output load capacitance and corresponding drive strengths of gates. In this paper, we address this challenge by exploring various architectural design choices using CNTFET-based pass transistor logic (PTL) and create an energy-efficient RISC-V processor. While silicon-based design traditionally prefers complementary logic over PTL, CNTFETs are ideal candidates for PTL due to their low threshold voltage, low power dissipation, and equal strength p-type and n-type transistors. By utilizing PTL to design modules that lie on the processor's critical path, systems can efficiently exploit CNTFET's potential benefits. Our results show that while a CNTFET RISC-V processor using complementary logic achieves a 2.9× EDP improvement over a silicon design, using PTL along the critical path components in the ALU can boost EDP improvement 5× as well as reduce area by 17% over 16 nm silicon CMOS.
随着硅基晶体管接近其规模极限,多种后继技术正在争夺硅的地位。由于最近的制造突破,一个有希望的替代品是碳纳米管场效应晶体管(CNTFET),它使用碳纳米管代替硅作为通道介质。虽然使用cntfet的逻辑门已经被证明比基于硅的逻辑门提供了一个数量级更好的能量延迟积(EDP),但由于设计的关键路径、输出负载电容和相应的门的驱动强度,使用cntfet的系统级设计显示出明显较小的EDP改进。在本文中,我们通过使用基于cntfet的通管晶体管逻辑(PTL)探索各种架构设计选择来解决这一挑战,并创建了一种节能的RISC-V处理器。虽然基于硅的设计传统上更倾向于互补逻辑而不是PTL,但由于其低阈值电压,低功耗以及相同强度的p型和n型晶体管,cntfet是PTL的理想候选者。通过利用PTL来设计位于处理器关键路径上的模块,系统可以有效地利用CNTFET的潜在优势。我们的研究结果表明,虽然使用互补逻辑的CNTFET RISC-V处理器比硅设计实现了2.9倍的EDP改进,但在ALU中沿关键路径组件使用PTL可以将EDP提高5倍,并将16纳米硅CMOS的面积减少17%。
{"title":"A carbon nanotube transistor based RISC-V processor using pass transistor logic","authors":"Aporva Amarnath, Siying Feng, S. Pal, T. Ajayi, A. Rovinski, R. Dreslinski","doi":"10.1109/ISLPED.2017.8009156","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009156","url":null,"abstract":"With silicon-based transistors approaching their scaling limits, multiple successor technologies are competing for silicon's place. Due to recent fabrication breakthroughs, one promising alternative is the carbon nanotube field-effect transistor (CNTFET), which uses carbon nanotubes as the channel medium instead of silicon. Although logic gates using CNTFETs have been demonstrated to provide up to an order of magnitude better energy-delay product (EDP) over silicon-based counterparts, system-level design using CNTFETs show significantly smaller EDP improvement because of the critical path of the design, output load capacitance and corresponding drive strengths of gates. In this paper, we address this challenge by exploring various architectural design choices using CNTFET-based pass transistor logic (PTL) and create an energy-efficient RISC-V processor. While silicon-based design traditionally prefers complementary logic over PTL, CNTFETs are ideal candidates for PTL due to their low threshold voltage, low power dissipation, and equal strength p-type and n-type transistors. By utilizing PTL to design modules that lie on the processor's critical path, systems can efficiently exploit CNTFET's potential benefits. Our results show that while a CNTFET RISC-V processor using complementary logic achieves a 2.9× EDP improvement over a silicon design, using PTL along the critical path components in the ALU can boost EDP improvement 5× as well as reduce area by 17% over 16 nm silicon CMOS.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124174458","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Temporal codes in on-chip interconnects 片上互连中的时间码
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009158
Michael Mishkin, N. Kim, Mikko H. Lipasti
Dynamic power consumption associated with signal toggles over long distance wires accounts for a significant portion of on-chip interconnect power. Improving dynamic energy efficiency in highly capacitive interconnects can be achieved by reducing the toggle rates associated with data communication. Temporal coding schemes facilitate bounded activity factors by encoding information as placement of signal toggles in time and can thereby improve the energy efficiency of data communication by encoding multiple bits per toggle. We introduce two temporal protocol variants designed for traversal of the crossbars in on-chip networks. These protocols reduce peak power without loss of bandwidth and achieve energy efficient on-chip communication in high capacitance long distance interconnects. Extending these energy savings to a multi-hop mesh topology is achieved by router implementations equipped with bypassing mechanisms that elide per hop reencoding overheads. We demonstrate a four bit per transition temporal protocol with up to 75% communication energy reduction that can be achieved over a baseline serial bit stream protocol.
与长距离电线上的信号切换相关的动态功耗占片上互连功率的很大一部分。通过降低与数据通信相关的切换率,可以提高高电容互连的动态能源效率。时间编码方案通过将信息编码为信号切换在时间上的位置来促进有界活动因子,从而可以通过每个切换编码多个比特来提高数据通信的能量效率。我们介绍了两种临时协议变体,它们是为片上网络中交叉条的遍历而设计的。这些协议在不损失带宽的情况下降低峰值功率,并在高电容长距离互连中实现高能效的片上通信。将这些能量节约扩展到多跳网格拓扑是通过路由器实现的,路由器实现配备了绕过机制,省去了每跳重新编码的开销。我们演示了一个每转换4比特的临时协议,与基线串行比特流协议相比,可以实现高达75%的通信能耗降低。
{"title":"Temporal codes in on-chip interconnects","authors":"Michael Mishkin, N. Kim, Mikko H. Lipasti","doi":"10.1109/ISLPED.2017.8009158","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009158","url":null,"abstract":"Dynamic power consumption associated with signal toggles over long distance wires accounts for a significant portion of on-chip interconnect power. Improving dynamic energy efficiency in highly capacitive interconnects can be achieved by reducing the toggle rates associated with data communication. Temporal coding schemes facilitate bounded activity factors by encoding information as placement of signal toggles in time and can thereby improve the energy efficiency of data communication by encoding multiple bits per toggle. We introduce two temporal protocol variants designed for traversal of the crossbars in on-chip networks. These protocols reduce peak power without loss of bandwidth and achieve energy efficient on-chip communication in high capacitance long distance interconnects. Extending these energy savings to a multi-hop mesh topology is achieved by router implementations equipped with bypassing mechanisms that elide per hop reencoding overheads. We demonstrate a four bit per transition temporal protocol with up to 75% communication energy reduction that can be achieved over a baseline serial bit stream protocol.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130950925","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Architecting large-scale SRAM arrays with monolithic 3D integration 构建具有单片3D集成的大规模SRAM阵列
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009157
J. Kong, Young-Ho Gong, S. Chung
In this paper, we architect large-scale SRAM arrays with monolithic 3D (M3D) integration technology. We introduce M3D-based SRAM arrays with three different ways of integration: M3D-R (vertical routing-only), M3D-VBL (vertical bitline), and M3D-VWL (vertical wordline). We also apply M3D-based SRAM arrays to last-level caches: tag arrays for eDRAM LLCs and data arrays for SRAM LLCs. The proposed LLCs with M3D-based SRAM arrays lead to better performance and lower energy by 0.02%∼1.7% and 49.1%∼79.1%, respectively, compared to that with TSV-based 3D SRAM arrays.
本文采用单片3D (monolithic 3D, M3D)集成技术构建大规模SRAM阵列。我们介绍了基于m3d的SRAM阵列,具有三种不同的集成方式:M3D-R(垂直路由),M3D-VBL(垂直位线)和M3D-VWL(垂直字线)。我们还将基于m3d的SRAM阵列应用于最后一级缓存:eDRAM llc的标签阵列和SRAM llc的数据阵列。与基于tsv的3D SRAM阵列相比,基于m3d的SRAM阵列的LLCs性能更好,能量降低了0.02% ~ 1.7%和49.1% ~ 79.1%。
{"title":"Architecting large-scale SRAM arrays with monolithic 3D integration","authors":"J. Kong, Young-Ho Gong, S. Chung","doi":"10.1109/ISLPED.2017.8009157","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009157","url":null,"abstract":"In this paper, we architect large-scale SRAM arrays with monolithic 3D (M3D) integration technology. We introduce M3D-based SRAM arrays with three different ways of integration: M3D-R (vertical routing-only), M3D-VBL (vertical bitline), and M3D-VWL (vertical wordline). We also apply M3D-based SRAM arrays to last-level caches: tag arrays for eDRAM LLCs and data arrays for SRAM LLCs. The proposed LLCs with M3D-based SRAM arrays lead to better performance and lower energy by 0.02%∼1.7% and 49.1%∼79.1%, respectively, compared to that with TSV-based 3D SRAM arrays.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125004881","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
A data remanence based approach to generate 100% stable keys from an SRAM physical unclonable function 基于数据残留的方法,从SRAM物理不可克隆函数生成100%稳定的键
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009192
Muqing Liu, Chen Zhou, Qianying Tang, K. Parhi, C. Kim
The start-up value of an SRAM cell is unique, random, and unclonable as it is determined by the inherent process mismatch between transistors. These properties make SRAM an attractive circuit for generating encryption keys. The primary challenge for SRAM based key generation, however, is the poor stability when the circuit is subject to random noise, temperature and voltage changes, and device aging. Temporal majority voting (TMV) and bit masking were used in previous works to identify and store the location of unstable or marginally stable SRAM cells. However, TMV requires a long test time and significant hardware resources. In addition, the number of repetitive power-ups required to find the most stable cells is prohibitively high. To overcome the shortcomings of TMV, we propose a novel data remanence based technique to detect SRAM cells with the highest stability for reliable key generation. This approach requires only two remanence tests: writing ‘1’ (or ‘0’) to the entire array and momentarily shutting down the power until a few cells flip. We exploit the fact that the cells that are easily flipped are the most robust cells when written with the opposite data. The proposed method is more effective in finding the most stable cells in a large SRAM array than a TMV scheme with 1,000 power-up tests. Experimental studies show that the 256-bit key generated from a 512 kbit SRAM using the proposed data remanence method is 100% stable under different temperatures, power ramp up times, and device aging.
SRAM单元的启动值是唯一的,随机的,不可克隆的,因为它是由晶体管之间固有的工艺不匹配决定的。这些特性使SRAM成为产生加密密钥的有吸引力的电路。然而,基于SRAM的密钥生成的主要挑战是,当电路受到随机噪声、温度和电压变化以及器件老化时,稳定性差。在以前的工作中,时间多数投票(TMV)和位掩码被用于识别和存储不稳定或边缘稳定的SRAM单元的位置。然而,TMV需要很长的测试时间和大量的硬件资源。此外,寻找最稳定的电池所需的重复升级次数也非常高。为了克服TMV的缺点,我们提出了一种新的基于数据残留的SRAM单元检测技术,该技术具有最高的稳定性,可以可靠地生成密钥。这种方法只需要两个剩余测试:向整个数组写入' 1 '(或' 0 '),并暂时关闭电源,直到有几个单元翻转。我们利用这样一个事实:当写入相反的数据时,容易翻转的细胞是最健壮的细胞。该方法比具有1000次上电测试的TMV方案更有效地在大型SRAM阵列中找到最稳定的单元。实验研究表明,使用该方法生成的256位密钥在不同温度、功率上升时间和设备老化下都是100%稳定的。
{"title":"A data remanence based approach to generate 100% stable keys from an SRAM physical unclonable function","authors":"Muqing Liu, Chen Zhou, Qianying Tang, K. Parhi, C. Kim","doi":"10.1109/ISLPED.2017.8009192","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009192","url":null,"abstract":"The start-up value of an SRAM cell is unique, random, and unclonable as it is determined by the inherent process mismatch between transistors. These properties make SRAM an attractive circuit for generating encryption keys. The primary challenge for SRAM based key generation, however, is the poor stability when the circuit is subject to random noise, temperature and voltage changes, and device aging. Temporal majority voting (TMV) and bit masking were used in previous works to identify and store the location of unstable or marginally stable SRAM cells. However, TMV requires a long test time and significant hardware resources. In addition, the number of repetitive power-ups required to find the most stable cells is prohibitively high. To overcome the shortcomings of TMV, we propose a novel data remanence based technique to detect SRAM cells with the highest stability for reliable key generation. This approach requires only two remanence tests: writing ‘1’ (or ‘0’) to the entire array and momentarily shutting down the power until a few cells flip. We exploit the fact that the cells that are easily flipped are the most robust cells when written with the opposite data. The proposed method is more effective in finding the most stable cells in a large SRAM array than a TMV scheme with 1,000 power-up tests. Experimental studies show that the 256-bit key generated from a 512 kbit SRAM using the proposed data remanence method is 100% stable under different temperatures, power ramp up times, and device aging.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133094486","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Enabling efficient fine-grained DRAM activations with interleaved I/O 通过交错I/O实现高效的细粒度DRAM激活
Pub Date : 2017-07-24 DOI: 10.1109/ISLPED.2017.8009201
Chao Zhang, Xiaochen Guo
DRAM contributes a significant part of the total system energy consumption, and row activation is one of the most energy inefficient components. Prior works on fine-grained DRAM activation rely on increasing the number of local wires to avoid degrading performance, which adds area overheads. This work proposes interleaved I/O to allow data transferring from different partially activated banks to share the global I/O. The proposed DRAM architecture allows half-, quarter-, or one-eighth- page activations without changing the wires. The system performance is competitive as compared with other fine-grained activation designs. For the evaluated benchmarks, an average of up to 15.7% performance improvement is achieved among all of the configurations. Furthermore, the total DRAM energy can be reduced by an average of 11.2% for halfpage, 17.2% for quarterpage, and 22.3% for one-eighth-page.
DRAM占系统总能耗的很大一部分,而行激活是最低效的组件之一。先前关于细粒度DRAM激活的工作依赖于增加本地线的数量来避免性能下降,这增加了面积开销。这项工作提出了交错I/O,允许来自不同部分激活银行的数据传输共享全局I/O。提议的DRAM架构允许半页、四分之一页或八分之一页的激活,而无需改变线路。与其他细粒度激活设计相比,系统性能具有竞争力。对于评估的基准测试,在所有配置中实现了平均高达15.7%的性能改进。此外,半页的DRAM总能耗平均降低11.2%,四分之一页的平均能耗降低17.2%,八分之一页的平均能耗降低22.3%。
{"title":"Enabling efficient fine-grained DRAM activations with interleaved I/O","authors":"Chao Zhang, Xiaochen Guo","doi":"10.1109/ISLPED.2017.8009201","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009201","url":null,"abstract":"DRAM contributes a significant part of the total system energy consumption, and row activation is one of the most energy inefficient components. Prior works on fine-grained DRAM activation rely on increasing the number of local wires to avoid degrading performance, which adds area overheads. This work proposes interleaved I/O to allow data transferring from different partially activated banks to share the global I/O. The proposed DRAM architecture allows half-, quarter-, or one-eighth- page activations without changing the wires. The system performance is competitive as compared with other fine-grained activation designs. For the evaluated benchmarks, an average of up to 15.7% performance improvement is achieved among all of the configurations. Furthermore, the total DRAM energy can be reduced by an average of 11.2% for halfpage, 17.2% for quarterpage, and 22.3% for one-eighth-page.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129522706","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
期刊
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1