首页 > 最新文献

2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)最新文献

英文 中文
Workload-driven frequency-aware battery sizing 工作负载驱动的频率感知电池尺寸
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009196
Yukai Chen, E. Macii, M. Poncino
Despite the wide body of literature on the sizing of energy storage devices available in the domain of electrical energy systems, the problem has not drawn much attention in the area of battery-powered electronic systems.
尽管在电能系统领域有大量关于储能装置尺寸的文献,但在电池供电的电子系统领域,这个问题并没有引起太多的关注。
{"title":"Workload-driven frequency-aware battery sizing","authors":"Yukai Chen, E. Macii, M. Poncino","doi":"10.1109/ISLPED.2017.8009196","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009196","url":null,"abstract":"Despite the wide body of literature on the sizing of energy storage devices available in the domain of electrical energy systems, the problem has not drawn much attention in the area of battery-powered electronic systems.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133256791","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Low power in-memory computing based on dual-mode SOT-MRAM 基于双模SOT-MRAM的低功耗内存计算
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009200
Farhana Parveen, Shaahin Angizi, Zhezhi He, Deliang Fan
In this paper, we propose a novel Spin Orbit Torque Magnetic Random Access Memory (SOT-MRAM) array design that could simultaneously work as non-volatile memory and implement a reconfigurable in-memory logic (AND, OR) without add-on logic circuits to memory chip as in traditional logic-in-memory designs. The computed logic output could be simply read out like a normal MRAM bit-cell using the shared memory peripheral circuits. Such intrinsic in-memory logic could be used to process data within memory to greatly reduce power-hungry and long distance data communication in conventional Von-Neumann computing systems. We further employ in-memory data encryption using Advanced Encryption Standard (AES) algorithm as a case study to demonstrate the efficiency of the proposed design. The device to architecture co-simulation results show that the proposed design can achieve 70.15% and 80.87% lower energy consumption compared to CMOS-ASIC and CMOL-AES implementations, respectively. It offers almost similar energy consumption as recent DW-AES implementation, but with 60.65% less area overhead.
在本文中,我们提出了一种新的自旋轨道转矩磁随机存取存储器(SOT-MRAM)阵列设计,它可以同时作为非易失性存储器和实现可重构的存储器逻辑(and, OR),而无需像传统的逻辑存储器设计那样在存储器芯片上附加逻辑电路。计算的逻辑输出可以像普通的MRAM位单元一样使用共享存储器外围电路简单地读出。这种内在的内存逻辑可以用于在内存中处理数据,从而大大降低传统冯-诺伊曼计算系统的耗电量和长距离数据通信。我们进一步采用使用高级加密标准(AES)算法的内存数据加密作为案例研究,以证明所提出设计的效率。器件与体系结构的联合仿真结果表明,与CMOS-ASIC和CMOL-AES实现相比,该设计的能耗分别降低了70.15%和80.87%。它提供了与最近的DW-AES实现几乎相同的能耗,但面积开销减少了60.65%。
{"title":"Low power in-memory computing based on dual-mode SOT-MRAM","authors":"Farhana Parveen, Shaahin Angizi, Zhezhi He, Deliang Fan","doi":"10.1109/ISLPED.2017.8009200","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009200","url":null,"abstract":"In this paper, we propose a novel Spin Orbit Torque Magnetic Random Access Memory (SOT-MRAM) array design that could simultaneously work as non-volatile memory and implement a reconfigurable in-memory logic (AND, OR) without add-on logic circuits to memory chip as in traditional logic-in-memory designs. The computed logic output could be simply read out like a normal MRAM bit-cell using the shared memory peripheral circuits. Such intrinsic in-memory logic could be used to process data within memory to greatly reduce power-hungry and long distance data communication in conventional Von-Neumann computing systems. We further employ in-memory data encryption using Advanced Encryption Standard (AES) algorithm as a case study to demonstrate the efficiency of the proposed design. The device to architecture co-simulation results show that the proposed design can achieve 70.15% and 80.87% lower energy consumption compared to CMOS-ASIC and CMOL-AES implementations, respectively. It offers almost similar energy consumption as recent DW-AES implementation, but with 60.65% less area overhead.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114962601","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Reconfigurable thermoelectric generators for vehicle radiators energy harvesting 用于车辆散热器能量收集的可重构热电发电机
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009166
Donkyu Baek, Caiwen Ding, Sheng Lin, Donghwa Shin, Jaemin Kim, X. Lin, Yanzhi Wang, N. Chang
Conventional internal combustion engine vehicles (ICEV) generally have less than a 30% of fuel efficiency, and the most wasted energy is dissipated in the form of heat energy. The heat energy maintains the engine temperature for efficient combustion as a good aspect, but the amount of heat generation is excessive and eventually breaks the engine components unless advanced cooling system technologies are supported such as high-capacity radiators, elaborated water jackets, high-flow rate coolant pumps, etc. The excessive heat dissipation plays a key role on a poor fuel economy, but reclamation of the heat energy has not been a main focus of vehicle design. This work is first to propose a cross-layer, system-level solution to enhance thermoelectric generator (TEG) array efficiency introducing online reconfiguration of TEG modules. The proposed method is useful to any sort of TEG array to reclaim wasted heat energy because cooling and exhaust systems generally have different inlet and outlet temperatures. In this paper, we deploy the proposed method to vehicle radiator heat energy harvesting, which does not affect the vehicle performance while exhaust heat energy harvesting may disturb the combustion and emission control integrity. We introduce a novel TEG reconfiguration and maximize the TEG array output in spite of dynamic change of the coolant flow rate and temperature, which results in a huge variation in the coolant temperature distribution of inside the radiator. The proposed method enables all the TEG modules to run at or close to their maximum power points (MPP) under dynamically changing vehicle operating conditions. Experimental results show up to a 34% enhancement compared with a fixed array structure, which is a common practice.
传统的内燃机汽车(ICEV)的燃油效率通常不到30%,浪费的大部分能量以热能的形式消散。热能维持发动机温度,有效燃烧是一个好的方面,但热量产生过多,最终破坏发动机部件,除非先进的冷却系统技术支持,如大容量散热器,精心设计的水套,高流量冷却剂泵等。过度的散热是导致汽车燃油经济性差的关键因素,但热能的回收利用一直不是汽车设计的重点。这项工作首次提出了一种跨层、系统级的解决方案,通过在线重构热电发电机(TEG)模块来提高热电发电机(TEG)阵列的效率。由于冷却和排气系统通常具有不同的入口和出口温度,因此所提出的方法对任何类型的TEG阵列回收浪费的热能都是有用的。在本文中,我们将该方法应用于汽车散热器热能收集,该方法不影响车辆的性能,而尾气热能收集可能会干扰燃烧和排放控制的完整性。在冷却剂流量和温度发生动态变化的情况下,提出了一种新的TEG重构方法,使TEG阵列输出最大化,从而导致散热器内部冷却剂温度分布发生巨大变化。所提出的方法使所有TEG模块在动态变化的车辆操作条件下以或接近其最大功率点(MPP)运行。实验结果表明,与固定阵列结构相比,可提高34%,这是一种常见的做法。
{"title":"Reconfigurable thermoelectric generators for vehicle radiators energy harvesting","authors":"Donkyu Baek, Caiwen Ding, Sheng Lin, Donghwa Shin, Jaemin Kim, X. Lin, Yanzhi Wang, N. Chang","doi":"10.1109/ISLPED.2017.8009166","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009166","url":null,"abstract":"Conventional internal combustion engine vehicles (ICEV) generally have less than a 30% of fuel efficiency, and the most wasted energy is dissipated in the form of heat energy. The heat energy maintains the engine temperature for efficient combustion as a good aspect, but the amount of heat generation is excessive and eventually breaks the engine components unless advanced cooling system technologies are supported such as high-capacity radiators, elaborated water jackets, high-flow rate coolant pumps, etc. The excessive heat dissipation plays a key role on a poor fuel economy, but reclamation of the heat energy has not been a main focus of vehicle design. This work is first to propose a cross-layer, system-level solution to enhance thermoelectric generator (TEG) array efficiency introducing online reconfiguration of TEG modules. The proposed method is useful to any sort of TEG array to reclaim wasted heat energy because cooling and exhaust systems generally have different inlet and outlet temperatures. In this paper, we deploy the proposed method to vehicle radiator heat energy harvesting, which does not affect the vehicle performance while exhaust heat energy harvesting may disturb the combustion and emission control integrity. We introduce a novel TEG reconfiguration and maximize the TEG array output in spite of dynamic change of the coolant flow rate and temperature, which results in a huge variation in the coolant temperature distribution of inside the radiator. The proposed method enables all the TEG modules to run at or close to their maximum power points (MPP) under dynamically changing vehicle operating conditions. Experimental results show up to a 34% enhancement compared with a fixed array structure, which is a common practice.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116633612","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A case for efficient accelerator design space exploration via Bayesian optimization 基于贝叶斯优化的高效加速器空间探索设计实例
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009208
Brandon Reagen, José Miguel Hernández-Lobato, Robert Adolf, M. Gelbart, P. Whatmough, Gu-Yeon Wei, D. Brooks
In this paper we propose using machine learning to improve the design of deep neural network hardware accelerators. We show how to adapt multi-objective Bayesian optimization to overcome a challenging design problem: optimizing deep neural network hardware accelerators for both accuracy and energy efficiency. DNN accelerators exhibit all aspects of a challenging optimization space: the landscape is rough, evaluating designs is expensive, the objectives compete with each other, and both design spaces (algorithmic and microarchitectural) are unwieldy. With multi-objective Bayesian optimization, the design space exploration is made tractable and the design points found vastly outperform traditional methods across all metrics of interest.
本文提出利用机器学习来改进深度神经网络硬件加速器的设计。我们展示了如何适应多目标贝叶斯优化来克服一个具有挑战性的设计问题:优化深度神经网络硬件加速器的精度和能量效率。DNN加速器展示了一个具有挑战性的优化空间的所有方面:景观是粗糙的,评估设计是昂贵的,目标相互竞争,设计空间(算法和微架构)都是笨拙的。使用多目标贝叶斯优化,设计空间探索变得容易处理,并且在所有感兴趣的指标上找到的设计点大大优于传统方法。
{"title":"A case for efficient accelerator design space exploration via Bayesian optimization","authors":"Brandon Reagen, José Miguel Hernández-Lobato, Robert Adolf, M. Gelbart, P. Whatmough, Gu-Yeon Wei, D. Brooks","doi":"10.1109/ISLPED.2017.8009208","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009208","url":null,"abstract":"In this paper we propose using machine learning to improve the design of deep neural network hardware accelerators. We show how to adapt multi-objective Bayesian optimization to overcome a challenging design problem: optimizing deep neural network hardware accelerators for both accuracy and energy efficiency. DNN accelerators exhibit all aspects of a challenging optimization space: the landscape is rough, evaluating designs is expensive, the objectives compete with each other, and both design spaces (algorithmic and microarchitectural) are unwieldy. With multi-objective Bayesian optimization, the design space exploration is made tractable and the design points found vastly outperform traditional methods across all metrics of interest.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127560553","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 70
Invited paper: Secure swarm intelligence: A new approach to many-core power management 特邀论文:安全群智能:多核电源管理的新方法
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009188
Augusto J. Vega, A. Buyuktosunoglu, P. Bose
This paper presents a visionary proposal for a distributed (or decentralized) power/thermal control mechanism that applies the bio-inspired artificial intelligence paradigm of swarm intelligence. The target use case is a future many-core processor. The paper reports a high-level concept-phase specification of the proposed solution approach in a research setting. The emphasis is on highlighting the key challenges and pitfalls that must be dealt with in transitioning this research into full product deployment.
本文提出了一个分布式(或分散)电力/热控制机制的有远见的建议,该机制应用了群体智能的生物启发人工智能范式。目标用例是未来的多核处理器。本文报告了在研究环境中提出的解决方案方法的高级概念阶段规范。重点是强调在将这项研究转变为完整的产品部署时必须处理的关键挑战和陷阱。
{"title":"Invited paper: Secure swarm intelligence: A new approach to many-core power management","authors":"Augusto J. Vega, A. Buyuktosunoglu, P. Bose","doi":"10.1109/ISLPED.2017.8009188","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009188","url":null,"abstract":"This paper presents a visionary proposal for a distributed (or decentralized) power/thermal control mechanism that applies the bio-inspired artificial intelligence paradigm of swarm intelligence. The target use case is a future many-core processor. The paper reports a high-level concept-phase specification of the proposed solution approach in a research setting. The emphasis is on highlighting the key challenges and pitfalls that must be dealt with in transitioning this research into full product deployment.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125518410","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 0.13pJ/bit, referenceless transceiver with clock edge modulation for a wired intra-BAN communication 一个0.13pJ/bit,时钟边调制的无参考收发器,用于有线ban内通信
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009159
Jihwan Park, Gi-Moon Hong, Mino Kim, Joo-Hyung Chae, Suhwan Kim
In this paper, we propose a low power transceiver (TRx) suitable for a wired intra-body area network (BAN) communication. The proposed transceiver is designed with relaxation oscillator which is appropriate for this low frequency (< 50MHz) application. To lessen the complexity of building this BAN system, we use clock edge modulation (CEM) data as sending or receiving data, and this allows the transceiver to operate without reference clock. The relaxation oscillator in this transceiver is designed to be able to generate CEM data pattern as well as a clock, so this can minimize power consumption in designing additional block related to transmission. Proposed circuit operates up to 36MHz with 1.0V supply voltage. It consumes 1.26uW at an input data rate of 10Mbps and achieves 0.13pJ/bit of energy per bit even though the circuit is implemented in a 0.18µm CMOS technology.
在本文中,我们提出了一种适合于有线体内局域网(BAN)通信的低功耗收发器(TRx)。所提出的收发器设计有松弛振荡器,适用于这种低频(< 50MHz)应用。为了减少构建BAN系统的复杂性,我们使用时钟边缘调制(CEM)数据作为发送或接收数据,这允许收发器在没有参考时钟的情况下工作。该收发器中的弛豫振荡器被设计为能够生成CEM数据模式和时钟,因此在设计与传输相关的附加块时可以将功耗降至最低。该电路在1.0V电源电压下工作至36MHz。在输入数据速率为10Mbps时,功耗为1.26uW,即使电路采用0.18 μ m CMOS技术实现,每比特的能量也为0.13pJ/bit。
{"title":"A 0.13pJ/bit, referenceless transceiver with clock edge modulation for a wired intra-BAN communication","authors":"Jihwan Park, Gi-Moon Hong, Mino Kim, Joo-Hyung Chae, Suhwan Kim","doi":"10.1109/ISLPED.2017.8009159","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009159","url":null,"abstract":"In this paper, we propose a low power transceiver (TRx) suitable for a wired intra-body area network (BAN) communication. The proposed transceiver is designed with relaxation oscillator which is appropriate for this low frequency (< 50MHz) application. To lessen the complexity of building this BAN system, we use clock edge modulation (CEM) data as sending or receiving data, and this allows the transceiver to operate without reference clock. The relaxation oscillator in this transceiver is designed to be able to generate CEM data pattern as well as a clock, so this can minimize power consumption in designing additional block related to transmission. Proposed circuit operates up to 36MHz with 1.0V supply voltage. It consumes 1.26uW at an input data rate of 10Mbps and achieves 0.13pJ/bit of energy per bit even though the circuit is implemented in a 0.18µm CMOS technology.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116149732","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Full chip power benefits with negative capacitance FETs 负电容场效应管的全芯片功率优势
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009170
S. Samal, S. Khandelwal, A. Khan, S. Salahuddin, C. Hu, S. Lim
We study, for the first time, full chip power benefits of negative capacitance FET (NCFET) device technology for commercial-grade GDSII-level designs. Owing to sub-60mV/decade characteristics, NCFETs provide significantly higher drive-current than standard FETs at a given voltage, enabling significant iso-performance power savings by lowering VDD. We use SPICE models of NCFETs corresponding to 14nm node, which incorporate experimentally calibrated models of ferroelectric. We then characterize NCFET-based standard-cell libraries followed by full-chip NCFET-based GDSII-level design implementations of different benchmarks. Our results show that even with increased device capacitance, we can achieve about 4× (up to 74.7%) full-chip power reduction with low-VDD NCFETs over nominal VDD baseline FETs at iso-performance. The power savings are consistent across multiple benchmarks and are higher for low power designs.
我们首次研究了负电容FET (NCFET)器件技术在商业级gdsi级设计中的全芯片功率优势。由于ncfet具有低于60mv /decade的特性,在给定电压下,ncfet比标准fet提供更高的驱动电流,通过降低VDD实现显著的等性能功耗节约。我们使用了14nm节点对应的ncfet的SPICE模型,其中包含了实验校准的铁电模型。然后,我们描述了基于ncfeet的标准单元库,随后是基于ncfeet的全芯片gdsii级设计实现的不同基准。我们的研究结果表明,即使增加器件电容,在相同性能下,与标称VDD基准fet相比,低VDD ncfet可以实现约4倍(高达74.7%)的全芯片功耗降低。在多个基准测试中,节能效果是一致的,对于低功耗设计,节能效果更高。
{"title":"Full chip power benefits with negative capacitance FETs","authors":"S. Samal, S. Khandelwal, A. Khan, S. Salahuddin, C. Hu, S. Lim","doi":"10.1109/ISLPED.2017.8009170","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009170","url":null,"abstract":"We study, for the first time, full chip power benefits of negative capacitance FET (NCFET) device technology for commercial-grade GDSII-level designs. Owing to sub-60mV/decade characteristics, NCFETs provide significantly higher drive-current than standard FETs at a given voltage, enabling significant iso-performance power savings by lowering VDD. We use SPICE models of NCFETs corresponding to 14nm node, which incorporate experimentally calibrated models of ferroelectric. We then characterize NCFET-based standard-cell libraries followed by full-chip NCFET-based GDSII-level design implementations of different benchmarks. Our results show that even with increased device capacitance, we can achieve about 4× (up to 74.7%) full-chip power reduction with low-VDD NCFETs over nominal VDD baseline FETs at iso-performance. The power savings are consistent across multiple benchmarks and are higher for low power designs.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133602486","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Spatial and temporal scheduling of clock arrival times for IR hot-spot mitigation, reformulation of peak current reduction 红外热点缓解的时钟到达时间的时空调度,峰值电流降低的重新制定
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009179
B. Gunna, Lakshmi Bhamidipati, H. Homayoun, Avesta Sasan
This paper, formulates a novel technique that explores on-chip IR drop reduction and instantaneous demanded peak-current reduction simultaneously. Proposed solution leverages unused timing slacks, and schedules the clock arrival times to relax the peak current which is delivered through each via-stack in the on-chip IR hot-spots. In addition, this paper formulates and introduces a new evaluation metric which aids us in assessing the improvement in the voltage-noise gaurdband after application of the proposed mitigation technique. The strength of the proposed IR mitigation technique is that, in addition to timing information, it considers the power delivery network and cell placement information while scheduling the clock arrival times to achieve the best results. Application of the proposed solution to a selected IWLS benchmarks reduces the peak dynamic IR-drop by ∼49%, and the peak demanded current by ∼44%.
本文提出了一种同时探索片上红外降降和瞬时需求峰电流降低的新技术。提出的解决方案利用未使用的时序松弛,并调度时钟到达时间来放松通过片上红外热点中的每个过孔堆栈传递的峰值电流。此外,本文还制定并引入了一个新的评价指标,以帮助我们评估应用所提出的缓解技术后电压噪声带的改善情况。所提出的红外缓解技术的优势在于,除了定时信息外,它在调度时钟到达时间时还考虑了电力输送网络和小区放置信息,以实现最佳结果。将所提出的解决方案应用于选定的IWLS基准,可使峰值动态ir下降约49%,峰值所需电流减少约44%。
{"title":"Spatial and temporal scheduling of clock arrival times for IR hot-spot mitigation, reformulation of peak current reduction","authors":"B. Gunna, Lakshmi Bhamidipati, H. Homayoun, Avesta Sasan","doi":"10.1109/ISLPED.2017.8009179","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009179","url":null,"abstract":"This paper, formulates a novel technique that explores on-chip IR drop reduction and instantaneous demanded peak-current reduction simultaneously. Proposed solution leverages unused timing slacks, and schedules the clock arrival times to relax the peak current which is delivered through each via-stack in the on-chip IR hot-spots. In addition, this paper formulates and introduces a new evaluation metric which aids us in assessing the improvement in the voltage-noise gaurdband after application of the proposed mitigation technique. The strength of the proposed IR mitigation technique is that, in addition to timing information, it considers the power delivery network and cell placement information while scheduling the clock arrival times to achieve the best results. Application of the proposed solution to a selected IWLS benchmarks reduces the peak dynamic IR-drop by ∼49%, and the peak demanded current by ∼44%.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117172758","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Invited paper: Ultra-low energy security circuit primitives for IoT platforms 特邀论文:物联网平台的超低能耗安全电路原语
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009185
S. Mathew, Sudhir K. Satpathy, Vikram B. Suresh, R. Krishnamurthy
Low-area energy-efficient security primitives are key building blocks for enabling end-to-end content protection, user authentication in IoT platforms. This paper describes 3 designs that employ energy-efficient circuit techniques with optimal hardware-friendly arithmetic for seamless integration into area/battery constrained IoT systems: 1) A 2040-gate AES accelerator achieving 289Gbps/W efficiency in 22nm CMOS, 2) Hardened hybrid Physically Unclonable Function (PUF) circuit to generate a 100% stable encryption key. 3) All-digital TRNG to achieve >0.99 min-entropy with 3pJ/bit energy-efficiency.
低区域节能安全原语是实现物联网平台中端到端内容保护和用户身份验证的关键构建模块。本文介绍了三种采用节能电路技术和最佳硬件友好算法的设计,用于无缝集成到区域/电池受限的物联网系统中:1)在22nm CMOS中实现289Gbps/W效率的2040门AES加速器,2)强化混合物理不可克隆功能(PUF)电路,以生成100%稳定的加密密钥。3)全数字TRNG实现>0.99 min-entropy,能量效率为3pJ/bit。
{"title":"Invited paper: Ultra-low energy security circuit primitives for IoT platforms","authors":"S. Mathew, Sudhir K. Satpathy, Vikram B. Suresh, R. Krishnamurthy","doi":"10.1109/ISLPED.2017.8009185","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009185","url":null,"abstract":"Low-area energy-efficient security primitives are key building blocks for enabling end-to-end content protection, user authentication in IoT platforms. This paper describes 3 designs that employ energy-efficient circuit techniques with optimal hardware-friendly arithmetic for seamless integration into area/battery constrained IoT systems: 1) A 2040-gate AES accelerator achieving 289Gbps/W efficiency in 22nm CMOS, 2) Hardened hybrid Physically Unclonable Function (PUF) circuit to generate a 100% stable encryption key. 3) All-digital TRNG to achieve >0.99 min-entropy with 3pJ/bit energy-efficiency.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114481583","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SceneMan: Bridging mobile apps with system energy manager via scenario notification SceneMan:通过场景通知连接移动应用程序与系统能源管理器
Pub Date : 2017-07-01 DOI: 10.1109/ISLPED.2017.8009209
Li Li, J. Wang, Xiaorui Wang, Handong Ye, Ziang Hu
Power management on current mobile devices relies on OS modules known as DVFS governors. However, existing governors determine system configuration only based on low-level information such as CPU load without any input about application-level behaviors. In particular, there exists no communication from mobile apps to energy managers. We find that information about app usage scenarios (e.g., gaming, video chatting) can usually help energy manager perform a better job and achieve more energy savings. Although app-level energy optimizations have been proposed, they generally focus on single usage scenarios and do not address optimization across multiple scenarios. In this paper, we propose SceneMan, an energy optimization framework for mobile apps based on usage scenario notification. SceneMan has three components: an API, a scenario notifier, and an energy manager. The key idea is to make energy managers aware of app-level scenarios. At runtime, apps notify the energy manager about their usage scenarios with provided APIs used by developers. The energy manager then takes appropriate actions to minimize energy consumption of the running scenario while meeting performance requirements. Energy optimization across scenarios can thus be easily achieved. The framework requires little extra programming effort and can help apps achieve better energy efficiency in a transparent way. We implement our system on a Nexus 6 smartphone and test it with 13 real-world apps under 2 usage scenarios, namely, gaming and video chatting. We achieve up to 33.2% energy savings with a worst-case performance loss of 5.1%.
当前移动设备上的电源管理依赖于称为DVFS调控器的操作系统模块。但是,现有的调控器仅基于CPU负载等低级信息来确定系统配置,而没有任何关于应用程序级行为的输入。特别是,没有从移动应用程序到能源管理器的通信。我们发现有关应用程序使用场景的信息(例如,游戏,视频聊天)通常可以帮助能源管理器更好地完成工作并实现更多的节能。虽然已经提出了应用程序级别的能源优化,但它们通常侧重于单一使用场景,而不是跨多个场景的优化。在本文中,我们提出了SceneMan,一个基于使用场景通知的移动应用的能源优化框架。SceneMan有三个组件:一个API、一个场景通知器和一个能源管理器。关键思想是让能源管理人员意识到应用程序级别的场景。在运行时,应用程序通过提供的开发人员使用的api通知能源管理器它们的使用场景。然后,能源管理器采取适当的行动,在满足性能要求的同时,将运行场景的能耗降至最低。因此,跨场景的能源优化可以很容易地实现。该框架几乎不需要额外的编程工作,可以帮助应用程序以透明的方式实现更好的能源效率。我们在Nexus 6智能手机上实现了我们的系统,并在游戏和视频聊天两种使用场景下用13个真实世界的应用程序进行了测试。我们实现了高达33.2%的节能,最坏情况下的性能损失为5.1%。
{"title":"SceneMan: Bridging mobile apps with system energy manager via scenario notification","authors":"Li Li, J. Wang, Xiaorui Wang, Handong Ye, Ziang Hu","doi":"10.1109/ISLPED.2017.8009209","DOIUrl":"https://doi.org/10.1109/ISLPED.2017.8009209","url":null,"abstract":"Power management on current mobile devices relies on OS modules known as DVFS governors. However, existing governors determine system configuration only based on low-level information such as CPU load without any input about application-level behaviors. In particular, there exists no communication from mobile apps to energy managers. We find that information about app usage scenarios (e.g., gaming, video chatting) can usually help energy manager perform a better job and achieve more energy savings. Although app-level energy optimizations have been proposed, they generally focus on single usage scenarios and do not address optimization across multiple scenarios. In this paper, we propose SceneMan, an energy optimization framework for mobile apps based on usage scenario notification. SceneMan has three components: an API, a scenario notifier, and an energy manager. The key idea is to make energy managers aware of app-level scenarios. At runtime, apps notify the energy manager about their usage scenarios with provided APIs used by developers. The energy manager then takes appropriate actions to minimize energy consumption of the running scenario while meeting performance requirements. Energy optimization across scenarios can thus be easily achieved. The framework requires little extra programming effort and can help apps achieve better energy efficiency in a transparent way. We implement our system on a Nexus 6 smartphone and test it with 13 real-world apps under 2 usage scenarios, namely, gaming and video chatting. We achieve up to 33.2% energy savings with a worst-case performance loss of 5.1%.","PeriodicalId":385714,"journal":{"name":"2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125015560","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
期刊
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1