首页 > 最新文献

Metrology, Inspection, and Process Control XXXVII最新文献

英文 中文
Front Matter: Volume 12496 封面:第12496卷
Pub Date : 2023-05-24 DOI: 10.1117/12.2683994
{"title":"Front Matter: Volume 12496","authors":"","doi":"10.1117/12.2683994","DOIUrl":"https://doi.org/10.1117/12.2683994","url":null,"abstract":"","PeriodicalId":393709,"journal":{"name":"Metrology, Inspection, and Process Control XXXVII","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116503845","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A total shift show: submilliradian tilt goniometry in scanning electron microscopy 扫描电镜显示全位移:亚毫弧度倾斜角测量
Pub Date : 2023-04-30 DOI: 10.1117/12.2673963
A. Madison, J. Villarrubia, D. Westly, R. Dixson, Craig R. Copeland, John D. Gerling, K. Cochrane, A. Brodie, L. Muray, J. Liddle, S. M. Stavis
{"title":"A total shift show: submilliradian tilt goniometry in scanning electron microscopy","authors":"A. Madison, J. Villarrubia, D. Westly, R. Dixson, Craig R. Copeland, John D. Gerling, K. Cochrane, A. Brodie, L. Muray, J. Liddle, S. M. Stavis","doi":"10.1117/12.2673963","DOIUrl":"https://doi.org/10.1117/12.2673963","url":null,"abstract":"","PeriodicalId":393709,"journal":{"name":"Metrology, Inspection, and Process Control XXXVII","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-04-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126045055","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Unsupervised deep learning approach for voltage contrast (VC) image denoising towards device pillars yield analysis 面向器件柱成品率分析的电压对比(VC)图像去噪无监督深度学习方法
Pub Date : 2023-04-30 DOI: 10.1117/12.2658541
Bappaditya Dey, V. B. Blanco Carballo, S. Halder
{"title":"Unsupervised deep learning approach for voltage contrast (VC) image denoising towards device pillars yield analysis","authors":"Bappaditya Dey, V. B. Blanco Carballo, S. Halder","doi":"10.1117/12.2658541","DOIUrl":"https://doi.org/10.1117/12.2658541","url":null,"abstract":"","PeriodicalId":393709,"journal":{"name":"Metrology, Inspection, and Process Control XXXVII","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-04-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134110000","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SEM overlay target design using e-beam simulation 电子束模拟SEM叠加目标设计
Pub Date : 2023-04-30 DOI: 10.1117/12.2669984
Kevin Houchens, Yaniv Abramovitz, Shay Attal, O. Adan, Nahum Bomshtein, Itai Buks, Ryan Hsieh, T. Itzkovich, Jenny Perry
{"title":"SEM overlay target design using e-beam simulation","authors":"Kevin Houchens, Yaniv Abramovitz, Shay Attal, O. Adan, Nahum Bomshtein, Itai Buks, Ryan Hsieh, T. Itzkovich, Jenny Perry","doi":"10.1117/12.2669984","DOIUrl":"https://doi.org/10.1117/12.2669984","url":null,"abstract":"","PeriodicalId":393709,"journal":{"name":"Metrology, Inspection, and Process Control XXXVII","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-04-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115323331","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reflective deep-ultraviolet Fourier ptychographic microscopy for nanoscale imaging 用于纳米级成像的反射深紫外傅立叶平面显微镜
Pub Date : 2023-04-30 DOI: 10.1117/12.2666132
Kwan Seob Park, Yoon-Sung Bae, Sangsoo Choi, Martin Y. Sohn
{"title":"Reflective deep-ultraviolet Fourier ptychographic microscopy for nanoscale imaging","authors":"Kwan Seob Park, Yoon-Sung Bae, Sangsoo Choi, Martin Y. Sohn","doi":"10.1117/12.2666132","DOIUrl":"https://doi.org/10.1117/12.2666132","url":null,"abstract":"","PeriodicalId":393709,"journal":{"name":"Metrology, Inspection, and Process Control XXXVII","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-04-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115488592","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Orientation measurement on EUV DRAM contact hole as an aberration correlated metric 作为像差相关度量的EUV DRAM接触孔方向测量
Pub Date : 2023-04-30 DOI: 10.1117/12.2660118
Woosung Jung, Jong-Hoi Cho, Sung-Soon Lim, Taeseop Lee, DaeYoung Choi, J. Seo, Seunghyun Lee, JunKyoung Lee, You Jin Kim, Jeong Ho Yeo, A. Brikker, Roi Meir, R. Alkoken, Kyeongju Han, Sujin Lim, K. Choi, Chanhee Kwak, Hyeon Sang Shin
With the extreme ultraviolet (EUV) lithography and its pitch scaling, the resist shrinkage from electron beam has returned to an important critical dimension (CD) control issue - unlike multi-patterning where the smallest CD is larger than 40nm. The resist height reduces to maintain the aspect ratio below 2:1 which is critical factor for the prevention of the resist collapse. This leads to huge challenges to minimize the shrinkage of resist during the scanning electron microscope (SEM) measurement. Accurate and precise metrology of chemically amplified resist (CAR) type EUV photoresist processed pattern utilizing classical beam energy for lithography pattern such as 500V is great challenging as electron beam exposure of 1st measurement already fully shrunk the pattern. Moreover, occurrence of carbonization along with shrinkage hinders finding best conditions for not only metrology optimization but also minimized process impact. In this work, we evaluated the magnitude of shrinkage of CAR type EUV photoresists with several approaches including 0th and 1st shrinkage estimation utilizing line & space pattern and contact hole pattern as a function of landing energy dose and static/dynamic repeatability method to distinguish behaviors of shrinkage and carbonization by controlling interaction time of photoresist to its environment. One approach to trace minimized 0th shrinkage and metrology uncertainty in lithography process is utilizing 1st shrinkage (1st CD – 2nd CD) analysis together with plotting absolute value of the 1st CD as a function of dose. The other approach to trace optimization condition was comparing exposed area with electron beam and non-exposed area achieved by comparing litho/etch consecutive process on the same area. Furthermore, model fits, a simulation study were also performed.
{"title":"Orientation measurement on EUV DRAM contact hole as an aberration correlated metric","authors":"Woosung Jung, Jong-Hoi Cho, Sung-Soon Lim, Taeseop Lee, DaeYoung Choi, J. Seo, Seunghyun Lee, JunKyoung Lee, You Jin Kim, Jeong Ho Yeo, A. Brikker, Roi Meir, R. Alkoken, Kyeongju Han, Sujin Lim, K. Choi, Chanhee Kwak, Hyeon Sang Shin","doi":"10.1117/12.2660118","DOIUrl":"https://doi.org/10.1117/12.2660118","url":null,"abstract":"With the extreme ultraviolet (EUV) lithography and its pitch scaling, the resist shrinkage from electron beam has returned to an important critical dimension (CD) control issue - unlike multi-patterning where the smallest CD is larger than 40nm. The resist height reduces to maintain the aspect ratio below 2:1 which is critical factor for the prevention of the resist collapse. This leads to huge challenges to minimize the shrinkage of resist during the scanning electron microscope (SEM) measurement. Accurate and precise metrology of chemically amplified resist (CAR) type EUV photoresist processed pattern utilizing classical beam energy for lithography pattern such as 500V is great challenging as electron beam exposure of 1st measurement already fully shrunk the pattern. Moreover, occurrence of carbonization along with shrinkage hinders finding best conditions for not only metrology optimization but also minimized process impact. In this work, we evaluated the magnitude of shrinkage of CAR type EUV photoresists with several approaches including 0th and 1st shrinkage estimation utilizing line & space pattern and contact hole pattern as a function of landing energy dose and static/dynamic repeatability method to distinguish behaviors of shrinkage and carbonization by controlling interaction time of photoresist to its environment. One approach to trace minimized 0th shrinkage and metrology uncertainty in lithography process is utilizing 1st shrinkage (1st CD – 2nd CD) analysis together with plotting absolute value of the 1st CD as a function of dose. The other approach to trace optimization condition was comparing exposed area with electron beam and non-exposed area achieved by comparing litho/etch consecutive process on the same area. Furthermore, model fits, a simulation study were also performed.","PeriodicalId":393709,"journal":{"name":"Metrology, Inspection, and Process Control XXXVII","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-04-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124215824","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Metrology, Inspection, and Process Control XXXVII
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1