首页 > 最新文献

2007 Design, Automation & Test in Europe Conference & Exhibition最新文献

英文 中文
Microarchitecture Floorplanning for Sub-threshold Leakage Reduction 亚阈值泄漏减少的微架构平面规划
Pub Date : 2007-04-16 DOI: 10.1145/1266366.1266634
H. Mogal, K. Bazargan
Lateral heat conduction between modules affects the temperature profile of a floorplan, affecting the leakage power of individual blocks which increasingly is becoming a larger fraction of the overall power consumption with scaling of fabrication technologies. By modeling temperature dependent leakage power within a micro architecture-aware floorplanning process, we propose a method that reduces sub-threshold leakage power. To that end, two leakage models are used: a transient formulation independent of any leakage power model and a simpler formulation derived from an empirical leakage power model, both showing good fidelity to detailed transient simulations. Our algorithm can reduce subthreshold leakage by up to 15% with a minor degradation in performance, compared to a floorplanning process that does not model leakage. We also show the importance of modeling whitespace during floorplanning and its impact on leakage savings
模块之间的横向热传导影响平面的温度分布,影响单个模块的泄漏功率,随着制造技术的扩展,泄漏功率在总功耗中所占的比例越来越大。通过对微建筑平面规划过程中与温度相关的泄漏功率进行建模,我们提出了一种降低亚阈值泄漏功率的方法。为此,使用了两种泄漏模型:一种是独立于任何泄漏功率模型的瞬态公式,另一种是从经验泄漏功率模型推导出的更简单的公式,两者都能很好地模拟详细的瞬态模拟。与不模拟泄漏的地板规划过程相比,我们的算法可以减少高达15%的亚阈值泄漏,性能略有下降。我们还展示了在地板规划期间建模空白的重要性及其对节省泄漏的影响
{"title":"Microarchitecture Floorplanning for Sub-threshold Leakage Reduction","authors":"H. Mogal, K. Bazargan","doi":"10.1145/1266366.1266634","DOIUrl":"https://doi.org/10.1145/1266366.1266634","url":null,"abstract":"Lateral heat conduction between modules affects the temperature profile of a floorplan, affecting the leakage power of individual blocks which increasingly is becoming a larger fraction of the overall power consumption with scaling of fabrication technologies. By modeling temperature dependent leakage power within a micro architecture-aware floorplanning process, we propose a method that reduces sub-threshold leakage power. To that end, two leakage models are used: a transient formulation independent of any leakage power model and a simpler formulation derived from an empirical leakage power model, both showing good fidelity to detailed transient simulations. Our algorithm can reduce subthreshold leakage by up to 15% with a minor degradation in performance, compared to a floorplanning process that does not model leakage. We also show the importance of modeling whitespace during floorplanning and its impact on leakage savings","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129125722","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Testing in the Year 2020 2020年的考试
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364417
R. Galivanche, R. Kapur, A. Rubio
Testing today of a several hundred million transistor system-on-chip with analog, RF blocks, many processor cores and tens of memories is a huge task. What the test technology be like in year 2020 with hundreds of billions of transistors on a single chip? Can we get there with tweaks to today's technology? While the exact nature of the circuit styles, architectural innovations and product innovations in year 2020 are highly speculative at this point, we examine the impact of likely design and process technology trends on testing methods
如今,测试数亿晶体管片上系统(包含模拟、射频模块、许多处理器核心和数十个存储器)是一项艰巨的任务。在2020年,单芯片上有数千亿晶体管的测试技术会是什么样子?我们能否通过对当今技术的调整来实现这一目标?虽然2020年电路风格、架构创新和产品创新的确切性质在这一点上是高度推测的,但我们研究了可能的设计和工艺技术趋势对测试方法的影响
{"title":"Testing in the Year 2020","authors":"R. Galivanche, R. Kapur, A. Rubio","doi":"10.1109/DATE.2007.364417","DOIUrl":"https://doi.org/10.1109/DATE.2007.364417","url":null,"abstract":"Testing today of a several hundred million transistor system-on-chip with analog, RF blocks, many processor cores and tens of memories is a huge task. What the test technology be like in year 2020 with hundreds of billions of transistors on a single chip? Can we get there with tweaks to today's technology? While the exact nature of the circuit styles, architectural innovations and product innovations in year 2020 are highly speculative at this point, we examine the impact of likely design and process technology trends on testing methods","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"74 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130927424","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Compositional Specification of Behavioral Semantics 行为语义的构成规范
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364408
Kai Chen, J. Sztipanovits, S. Neema
An emerging common trend in model-based design of embedded software and systems is the adoption of domain-specific modeling languages (DSMLs). While abstract syntax metamodeling enables the rapid and inexpensive development of DSMLs, the specification of DSML semantics is still a hard problem. In previous work, we have developed methods and tools for the semantic anchoring of DSMLs. Semantic anchoring introduces a set of reusable "semantic units" that provide reference semantics for basic behavioral categories using the abstract state machine (ASM) framework. In this paper, we extend the semantic anchoring framework to heterogeneous behaviors by developing a method for the composition of semantic units. Semantic unit composition reduces the required effort from DSML designers and improves the quality of the specification. The proposed method is demonstrated through a case study
嵌入式软件和系统基于模型的设计中出现的一个共同趋势是采用特定于领域的建模语言(dsml)。虽然抽象语法元建模使DSML的快速和低成本开发成为可能,但DSML语义的规范仍然是一个难题。在以前的工作中,我们已经开发了用于dsml语义锚定的方法和工具。语义锚定引入了一组可重用的“语义单元”,这些单元使用抽象状态机(ASM)框架为基本行为类别提供参考语义。本文通过开发语义单元的组合方法,将语义锚定框架扩展到异构行为。语义单元组合减少了DSML设计人员所需的工作量,并提高了规范的质量。最后通过一个案例对该方法进行了验证
{"title":"Compositional Specification of Behavioral Semantics","authors":"Kai Chen, J. Sztipanovits, S. Neema","doi":"10.1109/DATE.2007.364408","DOIUrl":"https://doi.org/10.1109/DATE.2007.364408","url":null,"abstract":"An emerging common trend in model-based design of embedded software and systems is the adoption of domain-specific modeling languages (DSMLs). While abstract syntax metamodeling enables the rapid and inexpensive development of DSMLs, the specification of DSML semantics is still a hard problem. In previous work, we have developed methods and tools for the semantic anchoring of DSMLs. Semantic anchoring introduces a set of reusable \"semantic units\" that provide reference semantics for basic behavioral categories using the abstract state machine (ASM) framework. In this paper, we extend the semantic anchoring framework to heterogeneous behaviors by developing a method for the composition of semantic units. Semantic unit composition reduces the required effort from DSML designers and improves the quality of the specification. The proposed method is demonstrated through a case study","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"98 6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130243792","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 49
Adaptive Power Management in Energy Harvesting Systems 能量收集系统中的自适应电源管理
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364689
Clemens Moser, L. Thiele, D. Brunelli, L. Benini
Recently, there has been a substantial interest in the design of systems that receive their energy from regenerative sources such as solar cells. In contrast to approaches that attempt to minimize the power consumption we are concerned with adapting parameters of the application such that a maximal utility is obtained while respecting the limited and time-varying amount of available energy. Instead of solving the optimization problem on-line which may be prohibitively complex in terms of running time and energy consumption, we propose a parameterized specification and the computation of a corresponding optimal on-line controller. The efficiency of the new approach is demonstrated by experimental results and measurements on a sensor node
最近,人们对从太阳能电池等可再生能源中获取能量的系统设计产生了浓厚的兴趣。与试图最小化功耗的方法相反,我们关心的是调整应用的参数,以便在尊重有限的和随时间变化的可用能量的同时获得最大的效用。为了避免在线解决在运行时间和能量消耗方面可能过于复杂的优化问题,我们提出了一个参数化规范和相应的最优在线控制器的计算。实验结果和在传感器节点上的测量结果证明了该方法的有效性
{"title":"Adaptive Power Management in Energy Harvesting Systems","authors":"Clemens Moser, L. Thiele, D. Brunelli, L. Benini","doi":"10.1109/DATE.2007.364689","DOIUrl":"https://doi.org/10.1109/DATE.2007.364689","url":null,"abstract":"Recently, there has been a substantial interest in the design of systems that receive their energy from regenerative sources such as solar cells. In contrast to approaches that attempt to minimize the power consumption we are concerned with adapting parameters of the application such that a maximal utility is obtained while respecting the limited and time-varying amount of available energy. Instead of solving the optimization problem on-line which may be prohibitively complex in terms of running time and energy consumption, we propose a parameterized specification and the computation of a corresponding optimal on-line controller. The efficiency of the new approach is demonstrated by experimental results and measurements on a sensor node","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126686916","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 134
Dynamic Power Management under Uncertain Information 不确定信息下的动态电源管理
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364434
Hwisung Jung, Massoud Pedram
This paper tackles the problem of dynamic power management (DPM) in nanoscale CMOS design technologies that are typically affected by increasing levels of process, voltage, and temperature (PVT) variations and fluctuations. This uncertainty significantly undermines the accuracy and effectiveness of traditional DPM approaches. More specifically, a stochastic framework was propose to improve the accuracy of decision making in power management, while considering the manufacturing process and/or design induced uncertainties. A key characteristic of the framework is that uncertainties are effectively captured by a partially observable semi-Markov decision process. As a result, the proposed framework brings the underlying probabilistic PVT effects to the forefront of power management policy determination. Experimental results with a RISC processor demonstrate the effectiveness of the technique and show that the proposed variability-aware power management technique ensures robust system-wide energy savings under probabilistic variations
本文解决了纳米级CMOS设计技术中动态电源管理(DPM)的问题,该问题通常受到工艺、电压和温度(PVT)变化和波动的影响。这种不确定性极大地破坏了传统DPM方法的准确性和有效性。更具体地说,在考虑制造过程和/或设计引起的不确定性的情况下,提出了一个随机框架来提高电源管理决策的准确性。该框架的一个关键特征是通过部分可观察的半马尔可夫决策过程有效地捕获不确定性。因此,所提出的框架将潜在的概率PVT效应带到电源管理策略确定的前沿。在RISC处理器上的实验结果证明了该技术的有效性,并表明所提出的可变感知电源管理技术确保了在概率变化下系统范围内的鲁棒节能
{"title":"Dynamic Power Management under Uncertain Information","authors":"Hwisung Jung, Massoud Pedram","doi":"10.1109/DATE.2007.364434","DOIUrl":"https://doi.org/10.1109/DATE.2007.364434","url":null,"abstract":"This paper tackles the problem of dynamic power management (DPM) in nanoscale CMOS design technologies that are typically affected by increasing levels of process, voltage, and temperature (PVT) variations and fluctuations. This uncertainty significantly undermines the accuracy and effectiveness of traditional DPM approaches. More specifically, a stochastic framework was propose to improve the accuracy of decision making in power management, while considering the manufacturing process and/or design induced uncertainties. A key characteristic of the framework is that uncertainties are effectively captured by a partially observable semi-Markov decision process. As a result, the proposed framework brings the underlying probabilistic PVT effects to the forefront of power management policy determination. Experimental results with a RISC processor demonstrate the effectiveness of the technique and show that the proposed variability-aware power management technique ensures robust system-wide energy savings under probabilistic variations","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126409510","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Efficient Code Density Through Look-up Table Compression 通过查找表压缩实现高效代码密度
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364390
Talal Bonny, J. Henkel
Code density is a major requirement in embedded system design since it not only reduces the need for the scarce resource memory but also implicitly improves further important design parameters like power consumption and performance. Within this paper we introduce a novel and efficient hardware-supported approach that belongs to the group of statistical compression schemes as it is based on canonical Huffman coding. In particular, our scheme is the first to also compress the necessary Look-up Tables that can become significant in size if the application is large and/or high compression is desired. Our scheme optimizes the number of generated look-up tables to improve the compression ratio. In average, we achieve compression ratios as low as 49% (already including the overhead of the lookup tables). Thereby, our scheme is entirely orthogonal to approaches that take particularities of a certain instruction set architecture into account. We have conducted evaluations using a representative set of applications and have applied it to three major embedded processor architectures, namely ARM, MIPS and PowerPC
代码密度是嵌入式系统设计的主要要求,因为它不仅减少了对稀缺资源内存的需求,而且还隐含地提高了功耗和性能等重要设计参数。在本文中,我们介绍了一种新的和有效的硬件支持的方法,它属于统计压缩方案组,因为它是基于规范的霍夫曼编码。特别是,我们的方案是第一个压缩必要的查找表的方案,如果应用程序很大和/或需要高压缩,查找表的大小会变得很大。我们的方案优化了生成的查找表的数量,以提高压缩比。平均而言,我们实现的压缩比低至49%(已经包括查找表的开销)。因此,我们的方案与考虑特定指令集体系结构特殊性的方法完全正交。我们使用一组具有代表性的应用程序进行了评估,并将其应用于三种主要的嵌入式处理器架构,即ARM, MIPS和PowerPC
{"title":"Efficient Code Density Through Look-up Table Compression","authors":"Talal Bonny, J. Henkel","doi":"10.1109/DATE.2007.364390","DOIUrl":"https://doi.org/10.1109/DATE.2007.364390","url":null,"abstract":"Code density is a major requirement in embedded system design since it not only reduces the need for the scarce resource memory but also implicitly improves further important design parameters like power consumption and performance. Within this paper we introduce a novel and efficient hardware-supported approach that belongs to the group of statistical compression schemes as it is based on canonical Huffman coding. In particular, our scheme is the first to also compress the necessary Look-up Tables that can become significant in size if the application is large and/or high compression is desired. Our scheme optimizes the number of generated look-up tables to improve the compression ratio. In average, we achieve compression ratios as low as 49% (already including the overhead of the lookup tables). Thereby, our scheme is entirely orthogonal to approaches that take particularities of a certain instruction set architecture into account. We have conducted evaluations using a representative set of applications and have applied it to three major embedded processor architectures, namely ARM, MIPS and PowerPC","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122263495","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Minimum-Energy LDPC Decoder for Real-Time Mobile Application 用于实时移动应用的最小能量LDPC解码器
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364615
Weihuang Wang, G. Choi
This paper presents a low-power real-time decoder that provides constant-time processing of each frame using dynamic voltage and frequency scaling. The design uses known capacity-approaching low-density parity-check (LDPC) code to contain data over fading channels. Real-time applications require guaranteed data rates. While conventional fixed-number of decoding-iteration schemes are not energy efficient for mobile devices, the proposed heuristic scheme pre-analyzes each received data frame to estimate the maximum number of necessary iterations for frame convergence. The results are then used to dynamically adjust decoder frequency. Energy use is then reduced appropriately by adjusting power supply voltage to minimum necessary for the given frequency. The resulting design provides a judicious trade-off between power consumption and error level
本文提出了一种低功耗实时解码器,该解码器利用动态电压和频率缩放对每帧进行恒定时间处理。该设计使用已知的接近容量的低密度奇偶校验(LDPC)代码来包含衰落信道上的数据。实时应用程序需要保证数据速率。传统的固定次数的解码迭代方案对于移动设备来说并不节能,提出的启发式方案预先分析每个接收到的数据帧,以估计帧收敛所需的最大迭代次数。然后将结果用于动态调整解码器频率。然后通过将电源电压调整到给定频率所需的最小值来适当地减少能源使用。最终的设计在功耗和错误水平之间提供了明智的权衡
{"title":"Minimum-Energy LDPC Decoder for Real-Time Mobile Application","authors":"Weihuang Wang, G. Choi","doi":"10.1109/DATE.2007.364615","DOIUrl":"https://doi.org/10.1109/DATE.2007.364615","url":null,"abstract":"This paper presents a low-power real-time decoder that provides constant-time processing of each frame using dynamic voltage and frequency scaling. The design uses known capacity-approaching low-density parity-check (LDPC) code to contain data over fading channels. Real-time applications require guaranteed data rates. While conventional fixed-number of decoding-iteration schemes are not energy efficient for mobile devices, the proposed heuristic scheme pre-analyzes each received data frame to estimate the maximum number of necessary iterations for frame convergence. The results are then used to dynamically adjust decoder frequency. Energy use is then reduced appropriately by adjusting power supply voltage to minimum necessary for the given frequency. The resulting design provides a judicious trade-off between power consumption and error level","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121148046","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Estimating Functional Coverage in Bounded Model Checking 有界模型检查中功能覆盖率的估计
Pub Date : 2007-04-16 DOI: 10.1145/1266366.1266620
Daniel Große, U. Kühne, R. Drechsler
Formal verification is an important issue in circuit and system design. In this context, bounded model checking (BMC) is one of the most successful techniques. But even if all specified properties can be verified, it is difficult to determine whether they cover the complete functional behavior of a design. We propose a pragmatic approach to estimate coverage in BMC. The approach can easily be integrated in a BMC tool with only minor changes. In our approach, a coverage property is generated for each important signal. If the considered properties do not describe the signal's entire behavior, the coverage property fails and a counter-example is generated. From the counter-example an uncovered scenario can be derived. In this way the approach also helps in design understanding. Our method is demonstrated on a RISC CPU. Based on the results we identified coverage gaps. We were able to close all of them and achieved 100% functional coverage
形式验证是电路和系统设计中的一个重要问题。在这种情况下,有界模型检查(BMC)是最成功的技术之一。但是,即使可以验证所有指定的属性,也很难确定它们是否涵盖了设计的完整功能行为。我们提出了一种实用的估算BMC覆盖率的方法。这种方法可以很容易地集成到BMC工具中,只需要进行很小的更改。在我们的方法中,为每个重要信号生成一个覆盖属性。如果所考虑的属性不能描述信号的全部行为,则覆盖属性失败,并生成反例。从反例中可以推导出一个未覆盖的场景。通过这种方式,这种方法也有助于设计理解。我们的方法在RISC CPU上进行了演示。根据结果,我们确定了覆盖率差距。我们能够关闭所有这些漏洞,并实现100%的功能覆盖率
{"title":"Estimating Functional Coverage in Bounded Model Checking","authors":"Daniel Große, U. Kühne, R. Drechsler","doi":"10.1145/1266366.1266620","DOIUrl":"https://doi.org/10.1145/1266366.1266620","url":null,"abstract":"Formal verification is an important issue in circuit and system design. In this context, bounded model checking (BMC) is one of the most successful techniques. But even if all specified properties can be verified, it is difficult to determine whether they cover the complete functional behavior of a design. We propose a pragmatic approach to estimate coverage in BMC. The approach can easily be integrated in a BMC tool with only minor changes. In our approach, a coverage property is generated for each important signal. If the considered properties do not describe the signal's entire behavior, the coverage property fails and a counter-example is generated. From the counter-example an uncovered scenario can be derived. In this way the approach also helps in design understanding. Our method is demonstrated on a RISC CPU. Based on the results we identified coverage gaps. We were able to close all of them and achieved 100% functional coverage","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116645889","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Using the Inter- and Intra-Switch Regularity in NoC Switch Testing 在NoC开关测试中使用交换机间和交换机内规则
Pub Date : 2007-04-16 DOI: 10.5555/1266366.1266443
Mohammad Hosseinabady, Atefe Dalirsani, Z. Navabi
This paper proposes an efficient test methodology to test switches in a network-on-chip (NoC) architecture. A switch in a NoC consists of a number of ports and a router. Using the intra-switch regularity among ports of a switch and inter-switch regularity among routers of switches, the proposed method decreases the test application time and test data volume of NoC testing. Using a test source to generate test vectors and scan-based testing, this methodology broadcasts test vectors through the minimum spanning tree of the NoC and concurrently tests its switches. In addition, a possible fault is detected by comparing test results using inter- or intra- switch comparisons. The logic and memory parts of a switch are tested by appropriate memory and logic testing methods. Experimental results show less test application time and test power consumption, as compared with other methods in the literature
本文提出了一种有效的测试方法来测试片上网络(NoC)架构中的交换机。NoC中的交换机由许多端口和路由器组成。该方法利用交换机端口间交换的内部规律性和交换机路由器间交换的内部规律性,减少了NoC测试的测试应用时间和测试数据量。该方法利用测试源生成测试向量和基于扫描的测试,通过NoC的最小生成树广播测试向量,并同时测试其交换机。此外,通过使用开关间或开关内比较比较测试结果来检测可能的故障。通过适当的存储器和逻辑测试方法对开关的逻辑和存储器部分进行测试。实验结果表明,与文献中其他方法相比,该方法的测试应用时间短,测试功耗低
{"title":"Using the Inter- and Intra-Switch Regularity in NoC Switch Testing","authors":"Mohammad Hosseinabady, Atefe Dalirsani, Z. Navabi","doi":"10.5555/1266366.1266443","DOIUrl":"https://doi.org/10.5555/1266366.1266443","url":null,"abstract":"This paper proposes an efficient test methodology to test switches in a network-on-chip (NoC) architecture. A switch in a NoC consists of a number of ports and a router. Using the intra-switch regularity among ports of a switch and inter-switch regularity among routers of switches, the proposed method decreases the test application time and test data volume of NoC testing. Using a test source to generate test vectors and scan-based testing, this methodology broadcasts test vectors through the minimum spanning tree of the NoC and concurrently tests its switches. In addition, a possible fault is detected by comparing test results using inter- or intra- switch comparisons. The logic and memory parts of a switch are tested by appropriate memory and logic testing methods. Experimental results show less test application time and test power consumption, as compared with other methods in the literature","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"220 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122398473","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
Nonlinearity Analysis of Analog/RF Circuits Using Combined Multisine and Volterra Analysis 基于多重正弦和伏特拉分析的模拟/射频电路非线性分析
Pub Date : 2007-04-16 DOI: 10.5555/1266366.1266422
J. Borremans, L. D. Locht, P. Wambacq, Y. Rolain
Modern integrated radio systems require highly linear analog/RF circuits. Two-tone simulations are commonly used to study a circuit's nonlinear behavior. Very often, however, this approach suffers limited insight. To gain insight into nonlinear behavior, we use a multisine analysis methodology to locate the main nonlinear components (e.g. transistors) both for weakly and strongly nonlinear behavior. Under weakly nonlinear conditions, selective Volterra analysis is used to further determine the most important nonlinearities of the main nonlinear components. As shown with an example of a 90 nm CMOS wideband low-noise amplifier, the insights obtained with this approach can be used to reduce nonlinear circuit behavior, in this case with 10 dB. The approach is valid for wideband and thus practical excitation signals, and is easily applicable both to simple and complex circuits
现代集成无线电系统需要高度线性的模拟/射频电路。双音仿真通常用于研究电路的非线性行为。然而,通常情况下,这种方法的洞察力有限。为了深入了解非线性行为,我们使用多正弦分析方法来定位弱和强非线性行为的主要非线性组件(例如晶体管)。在弱非线性条件下,采用选择性Volterra分析进一步确定了主要非线性分量中最重要的非线性。如90 nm CMOS宽带低噪声放大器的示例所示,通过该方法获得的见解可用于减少非线性电路行为,在本例中为10 dB。该方法适用于宽带和实际的激励信号,易于应用于简单和复杂的电路
{"title":"Nonlinearity Analysis of Analog/RF Circuits Using Combined Multisine and Volterra Analysis","authors":"J. Borremans, L. D. Locht, P. Wambacq, Y. Rolain","doi":"10.5555/1266366.1266422","DOIUrl":"https://doi.org/10.5555/1266366.1266422","url":null,"abstract":"Modern integrated radio systems require highly linear analog/RF circuits. Two-tone simulations are commonly used to study a circuit's nonlinear behavior. Very often, however, this approach suffers limited insight. To gain insight into nonlinear behavior, we use a multisine analysis methodology to locate the main nonlinear components (e.g. transistors) both for weakly and strongly nonlinear behavior. Under weakly nonlinear conditions, selective Volterra analysis is used to further determine the most important nonlinearities of the main nonlinear components. As shown with an example of a 90 nm CMOS wideband low-noise amplifier, the insights obtained with this approach can be used to reduce nonlinear circuit behavior, in this case with 10 dB. The approach is valid for wideband and thus practical excitation signals, and is easily applicable both to simple and complex circuits","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"84 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131248735","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
期刊
2007 Design, Automation & Test in Europe Conference & Exhibition
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1