首页 > 最新文献

2007 Design, Automation & Test in Europe Conference & Exhibition最新文献

英文 中文
Re-Configuration of Sub-blocks for Effective Application of Time Domain Tests 有效应用时域测试的子块重构
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364678
J. Anders, Shaji Krishnan, G. Gronthoud
AC sensitivities guide most analogue automatic test pattern generator (AATPG) while determining the optimal frequencies of a sinusoidal test stimulus. The optimal frequencies thus determined, normally lie in the close vicinity of the operating frequency of the circuit. Although these frequencies are justifiable by the principles of the circuit, these test frequencies do not bring any added value to the ultimate goal of cheap alternatives (low frequency test signal and cheaper measurement equipment) for the analogue and RF tests. In this paper, we propose to re-configure the circuit blocks, in such a way that the operating frequencies of the respective sub-block are shifted to lower testable frequencies. We have validated our proposal on a sub-block of a satellite receiver circuit that resulted in lowering the test frequencies of the corresponding sub-blocks from 12 GHz to 4MHz, while attaining the same level of defect coverage
在确定正弦测试刺激的最佳频率时,交流灵敏度指导大多数模拟自动测试模式发生器(AATPG)。这样确定的最佳频率通常位于电路工作频率的附近。虽然这些频率在电路原理上是合理的,但这些测试频率并没有为模拟和射频测试的廉价替代品(低频测试信号和更便宜的测量设备)的最终目标带来任何附加价值。在本文中,我们建议重新配置电路块,以这样一种方式,即各自子块的工作频率被转移到较低的可测试频率。我们已经在卫星接收器电路的子块上验证了我们的建议,结果将相应子块的测试频率从12 GHz降低到4MHz,同时获得相同级别的缺陷覆盖
{"title":"Re-Configuration of Sub-blocks for Effective Application of Time Domain Tests","authors":"J. Anders, Shaji Krishnan, G. Gronthoud","doi":"10.1109/DATE.2007.364678","DOIUrl":"https://doi.org/10.1109/DATE.2007.364678","url":null,"abstract":"AC sensitivities guide most analogue automatic test pattern generator (AATPG) while determining the optimal frequencies of a sinusoidal test stimulus. The optimal frequencies thus determined, normally lie in the close vicinity of the operating frequency of the circuit. Although these frequencies are justifiable by the principles of the circuit, these test frequencies do not bring any added value to the ultimate goal of cheap alternatives (low frequency test signal and cheaper measurement equipment) for the analogue and RF tests. In this paper, we propose to re-configure the circuit blocks, in such a way that the operating frequencies of the respective sub-block are shifted to lower testable frequencies. We have validated our proposal on a sub-block of a satellite receiver circuit that resulted in lowering the test frequencies of the corresponding sub-blocks from 12 GHz to 4MHz, while attaining the same level of defect coverage","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"369 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133937749","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Trade-Off Design of Analog Circuits using Goal Attainment and "Wave Front" Sequential Quadratic Programming 基于目标实现和“波前”顺序二次规划的模拟电路权衡设计
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364570
D. Mueller, H. Graeb, Ulf Schlichtmann
One of the main tasks in analog design is the sizing of the circuit parameters, such as transistor lengths and widths, in order to obtain optimal circuit performances, such as high gain or low power consumption. In most cases one performance can only be optimized at cost of others, therefore a sizing must aim at an optimal trade-off between the important circuit performances. This paper presents a new deterministic method to calculate the complete range of performance trade-offs, the so-called Pareto-optimal front, of a given circuit topology. Known deterministic methods solve a set of constrained multi-objective optimization problems independently of each other. The presented method minimizes a set of goal attainment (GA) optimization problems simultaneously. In a parallel algorithm, the individual GA optimization processes compare and exchange their iterative solutions. This leads to a significant improvement in the efficiency and quality of analog trade-off design
模拟设计的主要任务之一是确定电路参数的大小,如晶体管的长度和宽度,以获得最佳的电路性能,如高增益或低功耗。在大多数情况下,一种性能只能以其他性能为代价进行优化,因此尺寸必须以重要电路性能之间的最佳权衡为目标。本文提出了一种新的确定性方法来计算给定电路拓扑的性能权衡的完整范围,即所谓的帕累托最优前沿。已知的确定性方法是求解一组相互独立的约束多目标优化问题。该方法同时最小化一组目标实现(GA)优化问题。在并行算法中,各个GA优化过程比较和交换它们的迭代解。这将显著提高模拟权衡设计的效率和质量
{"title":"Trade-Off Design of Analog Circuits using Goal Attainment and \"Wave Front\" Sequential Quadratic Programming","authors":"D. Mueller, H. Graeb, Ulf Schlichtmann","doi":"10.1109/DATE.2007.364570","DOIUrl":"https://doi.org/10.1109/DATE.2007.364570","url":null,"abstract":"One of the main tasks in analog design is the sizing of the circuit parameters, such as transistor lengths and widths, in order to obtain optimal circuit performances, such as high gain or low power consumption. In most cases one performance can only be optimized at cost of others, therefore a sizing must aim at an optimal trade-off between the important circuit performances. This paper presents a new deterministic method to calculate the complete range of performance trade-offs, the so-called Pareto-optimal front, of a given circuit topology. Known deterministic methods solve a set of constrained multi-objective optimization problems independently of each other. The presented method minimizes a set of goal attainment (GA) optimization problems simultaneously. In a parallel algorithm, the individual GA optimization processes compare and exchange their iterative solutions. This leads to a significant improvement in the efficiency and quality of analog trade-off design","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131899318","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 26
A Framework for System Reliability Analysis Considering Both System Error Tolerance and Component Test Quality 考虑系统容错和组件测试质量的系统可靠性分析框架
Pub Date : 2007-04-16 DOI: 10.1145/1266366.1266714
Sung-Jui Pan, K. Cheng
The failure rate, the sources of failures and the test costs for nanometer devices are all increasing. Therefore, to create a reliable system-on-a-chip device requires designers to implement fault tolerance. However, while system-level fault tolerance could significantly relax the quality requirements of the system's building blocks, every fault-tolerant scheme only works under certain failure mechanisms and within a certain range of error probabilities. Also, designing a system with a high failure-rate component could be very expensive because the growth rate of the design complexity and the system overhead for fault tolerance could be significantly greater than the component failure rate. Therefore, it is desirable to understand the trade-offs between component test quality and system fault-tolerant capability for achieving the desired reliability under cost constraints. In this paper, the authors propose an analysis framework for system reliability considering (a) the test quality achieved by manufacturing testing, on-line self-checking, and off-line built-in self-test; (b) the fault-tolerant and spare schemes; and (c) the component defect and error probabilities. The authors demonstrate that, through proper redundancy configurations and low-cost testing to insure a certain degree of component test quality, a low-redundant system might achieve equal or higher reliability than a high-redundant system
纳米器件的故障率、故障来源和测试成本都在不断增加。因此,要创建一个可靠的片上系统器件需要设计人员实现容错。然而,尽管系统级容错可以显著放宽系统构建块的质量要求,但每种容错方案只能在一定的故障机制和一定的错误概率范围内工作。此外,设计具有高故障率组件的系统可能非常昂贵,因为设计复杂性的增长率和系统容错开销可能明显大于组件故障率。因此,了解组件测试质量和系统容错能力之间的权衡是在成本约束下实现期望的可靠性的必要条件。在本文中,作者提出了一个考虑(a)通过制造测试、在线自检和离线内置自检获得的测试质量的系统可靠性分析框架;(b)容错和备用方案;(c)构件缺陷和误差概率。作者证明,通过适当的冗余配置和低成本的测试来确保一定程度的组件测试质量,低冗余系统可以获得与高冗余系统相同或更高的可靠性
{"title":"A Framework for System Reliability Analysis Considering Both System Error Tolerance and Component Test Quality","authors":"Sung-Jui Pan, K. Cheng","doi":"10.1145/1266366.1266714","DOIUrl":"https://doi.org/10.1145/1266366.1266714","url":null,"abstract":"The failure rate, the sources of failures and the test costs for nanometer devices are all increasing. Therefore, to create a reliable system-on-a-chip device requires designers to implement fault tolerance. However, while system-level fault tolerance could significantly relax the quality requirements of the system's building blocks, every fault-tolerant scheme only works under certain failure mechanisms and within a certain range of error probabilities. Also, designing a system with a high failure-rate component could be very expensive because the growth rate of the design complexity and the system overhead for fault tolerance could be significantly greater than the component failure rate. Therefore, it is desirable to understand the trade-offs between component test quality and system fault-tolerant capability for achieving the desired reliability under cost constraints. In this paper, the authors propose an analysis framework for system reliability considering (a) the test quality achieved by manufacturing testing, on-line self-checking, and off-line built-in self-test; (b) the fault-tolerant and spare schemes; and (c) the component defect and error probabilities. The authors demonstrate that, through proper redundancy configurations and low-cost testing to insure a certain degree of component test quality, a low-redundant system might achieve equal or higher reliability than a high-redundant system","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"79 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114444886","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
A New Technique for Characterization of Digital-to-Analog Converters in High-Speed Systems 高速系统数模转换器特性的新技术
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364630
J. Savoj, A. Abbasfar, A. Amirkhany, B. Garlepp, M. Horowitz
In this paper, a new technique for characterization of digital-to-analog converters (DAC) used in wideband applications is described. Unlike the standard narrowband approach, this technique employs least square estimation to characterize the DAC from dc to any target frequency. Characterization is performed using a random sequence with certain temporal and probabilistic characteristics suitable for intended operating conditions. The technique provides a linear estimation of the system and decomposes nonlinearity into higher-order harmonics and deterministic periodic noise. The technique can also be used to derive the impulse response of the converter, predict its operating bandwidth, and provide far more insight into its sources of distortion
本文介绍了一种用于宽带应用的数模转换器(DAC)的表征新技术。与标准窄带方法不同,该技术采用最小二乘估计来表征DAC从直流到任何目标频率的特性。表征是使用具有适合于预期操作条件的某些时间和概率特征的随机序列进行的。该技术提供了系统的线性估计,并将非线性分解为高阶谐波和确定性周期噪声。该技术还可用于推导转换器的脉冲响应,预测其工作带宽,并对其失真源提供更深入的了解
{"title":"A New Technique for Characterization of Digital-to-Analog Converters in High-Speed Systems","authors":"J. Savoj, A. Abbasfar, A. Amirkhany, B. Garlepp, M. Horowitz","doi":"10.1109/DATE.2007.364630","DOIUrl":"https://doi.org/10.1109/DATE.2007.364630","url":null,"abstract":"In this paper, a new technique for characterization of digital-to-analog converters (DAC) used in wideband applications is described. Unlike the standard narrowband approach, this technique employs least square estimation to characterize the DAC from dc to any target frequency. Characterization is performed using a random sequence with certain temporal and probabilistic characteristics suitable for intended operating conditions. The technique provides a linear estimation of the system and decomposes nonlinearity into higher-order harmonics and deterministic periodic noise. The technique can also be used to derive the impulse response of the converter, predict its operating bandwidth, and provide far more insight into its sources of distortion","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115594419","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Generating and Executing Multi-Exit Custom Instructions for an Adaptive Extensible Processor 自适应可扩展处理器的多出口自定义指令的生成和执行
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364612
Hamid Noori, Farhad Mehdipour, K. Murakami, Koji Inoue, M. Goudarzi
To improve the performance of embedded processors, an effective technique is collapsing critical computation subgraphs as application-specific instruction set extensions and executing them on custom functional units. The problems of this approach are immense cost and long time of designing. To address these issues, an adaptive extensible processor was proposed in which custom instructions (CIs) are generated and added after chip-fabrication. To support this feature, custom functional units are replaced by a reconfigurable matrix of functional units with the capability of conditional execution. Unlike previous proposed CIs, it can include multiple exits. Experimental results show that multi-exit CIs enhance the performance by 46% in average compared to CIs limited to one basic block. A maximum speedup of 2.89 compared to a 4-issue in-order RISC processor, and a speedup of 1.66 in average, was achieved on MiBench benchmark suite
为了提高嵌入式处理器的性能,一种有效的技术是将关键计算子图压缩为应用程序特定的指令集扩展,并在自定义功能单元上执行它们。这种方法存在的问题是成本高、设计时间长。为了解决这些问题,提出了一种自适应可扩展处理器,该处理器在芯片制造后生成并添加自定义指令。为了支持这个特性,自定义功能单元被替换为具有条件执行能力的可重构功能单元矩阵。与之前提议的ci不同,它可以包含多个出口。实验结果表明,与仅限一个基本块的ci相比,多出口ci的性能平均提高了46%。与4个问题的顺序RISC处理器相比,在MiBench基准测试套件上实现了2.89的最大加速,平均加速为1.66
{"title":"Generating and Executing Multi-Exit Custom Instructions for an Adaptive Extensible Processor","authors":"Hamid Noori, Farhad Mehdipour, K. Murakami, Koji Inoue, M. Goudarzi","doi":"10.1109/DATE.2007.364612","DOIUrl":"https://doi.org/10.1109/DATE.2007.364612","url":null,"abstract":"To improve the performance of embedded processors, an effective technique is collapsing critical computation subgraphs as application-specific instruction set extensions and executing them on custom functional units. The problems of this approach are immense cost and long time of designing. To address these issues, an adaptive extensible processor was proposed in which custom instructions (CIs) are generated and added after chip-fabrication. To support this feature, custom functional units are replaced by a reconfigurable matrix of functional units with the capability of conditional execution. Unlike previous proposed CIs, it can include multiple exits. Experimental results show that multi-exit CIs enhance the performance by 46% in average compared to CIs limited to one basic block. A maximum speedup of 2.89 compared to a 4-issue in-order RISC processor, and a speedup of 1.66 in average, was achieved on MiBench benchmark suite","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"606 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123323402","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Double-Via-Driven Standard Cell Library Design 双孔驱动标准细胞库设计
Pub Date : 2007-04-16 DOI: 10.1145/1266366.1266627
Tsai-Ying Lin, Tsung-Han Lin, Hui-Hsiang Tung, Rung-Bin Lin
Double-via placement is important for increasing chip manufacturing yield. Commercial tools and recent work have done a great job for it. However, they are found with a limited capability of placing more double vias (called vial) between metal 1 and metal 2. Such a limitation is caused by the way we design the standard cells and can not be resolved by developing better tools. This paper presents a double-via-driven standard cell library design approach to solving this problem. Compared to the results obtained using a commercial cell library, our library on average achieves 78% reduction in dead vias and 95% reduction in dead vials at the expense of 11% increase in total via count. We achieve these results (almost) at no extra cost in total cell area and wire length
双通孔布局对于提高芯片制造良率非常重要。商业工具和最近的工作为它做了很大的工作。然而,发现它们在金属1和金属2之间放置更多双孔(称为小瓶)的能力有限。这种限制是由我们设计标准细胞的方式造成的,不能通过开发更好的工具来解决。本文提出了一种双通孔驱动的标准单元库设计方法来解决这一问题。与使用商业细胞文库获得的结果相比,我们的文库平均减少了78%的死孔和95%的死瓶,而总孔数增加了11%。我们实现这些结果(几乎)没有额外的成本,总电池面积和导线长度
{"title":"Double-Via-Driven Standard Cell Library Design","authors":"Tsai-Ying Lin, Tsung-Han Lin, Hui-Hsiang Tung, Rung-Bin Lin","doi":"10.1145/1266366.1266627","DOIUrl":"https://doi.org/10.1145/1266366.1266627","url":null,"abstract":"Double-via placement is important for increasing chip manufacturing yield. Commercial tools and recent work have done a great job for it. However, they are found with a limited capability of placing more double vias (called vial) between metal 1 and metal 2. Such a limitation is caused by the way we design the standard cells and can not be resolved by developing better tools. This paper presents a double-via-driven standard cell library design approach to solving this problem. Compared to the results obtained using a commercial cell library, our library on average achieves 78% reduction in dead vias and 95% reduction in dead vials at the expense of 11% increase in total via count. We achieve these results (almost) at no extra cost in total cell area and wire length","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"134 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123436955","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Automatic Synthesis of Compressor Trees: Reevaluating Large Counters 压缩树的自动合成:重新评估大型计数器
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364632
A. K. Verma, P. Ienne
Despite the progress of the last decades in electronic design automation, arithmetic circuits have always received way less attention than other classes of digital circuits. Logic synthesisers, which play a fundamental role in design today, play a minor role on most arithmetic circuits, performing some local optimisations but hardly improving the overall structure of arithmetic components. Architectural optimisations have been often studied manually, and only in the case of very common building blocks such as fast adders and multi-input adders, ad-hoc techniques have been developed. A notable case is multi-input addition, which is the core of many circuits such as multipliers, etc. The most common technique to implement multi-input addition is using compressor trees, which are often composed of carry-save adders (based on (3 : 2) counters, i.e., full adders). A large body of literature exists to implement compressor trees using large counters. However, all the large counters were built by using full and half adders recursively. In this paper we give some definite answers to issues related to the use of large counters. We present a general technique to implement large counters whose performance is much better than the ones composed of full and half adders. Also we show that it is not always useful to use larger optimised counters and sometimes a combination of various size counters gives the best performance. Our results show 15% improvement in the critical path delay. In some cases even hardware area is reduced by using our counters
尽管近几十年来在电子设计自动化方面取得了进展,但与其他类型的数字电路相比,算术电路一直受到的关注较少。逻辑合成器,在今天的设计中扮演着重要的角色,在大多数算术电路中扮演着次要的角色,执行一些局部优化,但几乎不能改善算术组件的整体结构。架构优化通常是手工研究的,只有在非常常见的构建块(如快速加法器和多输入加法器)的情况下,才开发了特别的技术。一个值得注意的例子是多输入加法,它是许多电路的核心,如乘法器等。实现多输入加法的最常见技术是使用压缩树,它通常由进位节省加法器组成(基于(3:2)计数器,即满加法器)。存在大量文献来实现使用大型计数器的压缩器树。然而,所有大型计数器都是通过递归地使用全加法器和半加法器构建的。在本文中,我们对与使用大计数器有关的问题给出了一些明确的答案。我们提出了一种实现大型计数器的通用技术,其性能远远优于由全加法器和半加法器组成的计数器。此外,我们还表明,使用更大的优化计数器并不总是有用的,有时各种大小计数器的组合可以提供最佳性能。我们的结果表明,关键路径延迟改善了15%。在某些情况下,使用我们的计数器甚至可以减少硬件面积
{"title":"Automatic Synthesis of Compressor Trees: Reevaluating Large Counters","authors":"A. K. Verma, P. Ienne","doi":"10.1109/DATE.2007.364632","DOIUrl":"https://doi.org/10.1109/DATE.2007.364632","url":null,"abstract":"Despite the progress of the last decades in electronic design automation, arithmetic circuits have always received way less attention than other classes of digital circuits. Logic synthesisers, which play a fundamental role in design today, play a minor role on most arithmetic circuits, performing some local optimisations but hardly improving the overall structure of arithmetic components. Architectural optimisations have been often studied manually, and only in the case of very common building blocks such as fast adders and multi-input adders, ad-hoc techniques have been developed. A notable case is multi-input addition, which is the core of many circuits such as multipliers, etc. The most common technique to implement multi-input addition is using compressor trees, which are often composed of carry-save adders (based on (3 : 2) counters, i.e., full adders). A large body of literature exists to implement compressor trees using large counters. However, all the large counters were built by using full and half adders recursively. In this paper we give some definite answers to issues related to the use of large counters. We present a general technique to implement large counters whose performance is much better than the ones composed of full and half adders. Also we show that it is not always useful to use larger optimised counters and sometimes a combination of various size counters gives the best performance. Our results show 15% improvement in the critical path delay. In some cases even hardware area is reduced by using our counters","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"90 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121614435","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
A Tiny and Efficient Wireless Ad-hoc Protocol for Low-cost Sensor Networks 一种用于低成本传感器网络的小型高效无线自组织协议
Pub Date : 2007-04-16 DOI: 10.1145/1266366.1266709
P. Gburzynski, B. Kaminska, W. Olesinski
The authors introduce a simple ad-hoc routing scheme that operates in the true spirit of ad-hoc networking, i.e., in a modeless fashion, without neighborhood discovery or explicit point-to-point forwarding, while offering a high (and tunable) degree of reliability, fault-tolerance and robustness. Being aimed at truly tiny devices (e.g., with 1KB of RAM), the scheme can automatically take advantage of extra memory resources to improve the quality of routes for critical nodes. In contrast to some popular low-cost solutions, like ZigBeetrade the approach involves a single node type and exhibits lower resource requirements. The presented scheme has been verified in an industrial deployment with stringent quality of service requirements
作者介绍了一种简单的ad-hoc路由方案,该方案在ad-hoc网络的真正精神中运行,即以非模态方式,没有邻居发现或显式点对点转发,同时提供高(可调)程度的可靠性,容错性和鲁棒性。针对真正微小的设备(例如,只有1KB的RAM),该方案可以自动利用额外的内存资源来提高关键节点的路由质量。与一些流行的低成本解决方案(如ZigBeetrade)相比,该方法只涉及单个节点类型,资源需求更低。该方案已在具有严格服务质量要求的工业部署中得到验证
{"title":"A Tiny and Efficient Wireless Ad-hoc Protocol for Low-cost Sensor Networks","authors":"P. Gburzynski, B. Kaminska, W. Olesinski","doi":"10.1145/1266366.1266709","DOIUrl":"https://doi.org/10.1145/1266366.1266709","url":null,"abstract":"The authors introduce a simple ad-hoc routing scheme that operates in the true spirit of ad-hoc networking, i.e., in a modeless fashion, without neighborhood discovery or explicit point-to-point forwarding, while offering a high (and tunable) degree of reliability, fault-tolerance and robustness. Being aimed at truly tiny devices (e.g., with 1KB of RAM), the scheme can automatically take advantage of extra memory resources to improve the quality of routes for critical nodes. In contrast to some popular low-cost solutions, like ZigBeetrade the approach involves a single node type and exhibits lower resource requirements. The presented scheme has been verified in an industrial deployment with stringent quality of service requirements","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"59 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122570331","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
An FPGA Based All-Digital Transmitter with Radio Frequency Output for Software Defined Radio 基于FPGA的软件无线电全数字射频输出发射机
Pub Date : 2007-04-16 DOI: 10.1109/DATE.2007.364561
Zhuan Ye, J. Grosspietsch, G. Memik
This paper presents the architecture and implementation of an all-digital transmitter with radio frequency output targeting an FPGA device. FPGA devices have been widely adopted in the applications of digital signal processing (DSP) and digital communication. They are typically well suited for the evolving technology of software defined radios (SDR) due to their reconfigurability and programmability. However, FPGA devices are mostly used to implement digital baseband and intermediate frequency (IF) functionalities. Therefore, significant analog and RF components are still needed to fulfill the radio communication requirements. The all-digital transmitter presented in this paper directly synthesizes RF signal in the digital domain, therefore eliminates the need for most of the analog and RF components. The all-digital transmitter consists of one QAM modulator and one RF pulse width modulator (RFPWM). The binary output waveform from RFPWM is centered at 800MHz with 64QAM signaling format. The entire transmitter is implemented using Xilinx Virtex2pro device with on chip multi-gigabit transceiver (MGT). The adjacent channel leakage ratio (ACLR) measured in the 20 MHz passband is 45dB, and the measured error vector magnitude (EVM) is less than 1%. Our work extends the digital implementation of communication applications on an FPGA platform to radio frequency, therefore making a significant evolution towards an ideal SDR
本文介绍了一种针对FPGA器件的全数字射频输出发射机的结构与实现。FPGA器件在数字信号处理(DSP)和数字通信中得到了广泛的应用。由于其可重构性和可编程性,它们通常非常适合软件定义无线电(SDR)的发展技术。然而,FPGA器件主要用于实现数字基带和中频(IF)功能。因此,仍然需要大量的模拟和射频组件来满足无线电通信的要求。本文提出的全数字发射机直接在数字域合成射频信号,从而消除了大部分模拟和射频元件的需要。全数字发射机由一个QAM调制器和一个射频脉宽调制器(RFPWM)组成。RFPWM的二进制输出波形以800MHz为中心,64QAM信令格式。整个发射机使用Xilinx Virtex2pro设备实现,该设备带有片上多千兆收发器(MGT)。在20mhz通频带测量到的相邻信道泄漏比(ACLR)为45dB,测量到的误差矢量幅值(EVM)小于1%。我们的工作将FPGA平台上通信应用的数字实现扩展到射频,从而朝着理想的SDR进行了重大发展
{"title":"An FPGA Based All-Digital Transmitter with Radio Frequency Output for Software Defined Radio","authors":"Zhuan Ye, J. Grosspietsch, G. Memik","doi":"10.1109/DATE.2007.364561","DOIUrl":"https://doi.org/10.1109/DATE.2007.364561","url":null,"abstract":"This paper presents the architecture and implementation of an all-digital transmitter with radio frequency output targeting an FPGA device. FPGA devices have been widely adopted in the applications of digital signal processing (DSP) and digital communication. They are typically well suited for the evolving technology of software defined radios (SDR) due to their reconfigurability and programmability. However, FPGA devices are mostly used to implement digital baseband and intermediate frequency (IF) functionalities. Therefore, significant analog and RF components are still needed to fulfill the radio communication requirements. The all-digital transmitter presented in this paper directly synthesizes RF signal in the digital domain, therefore eliminates the need for most of the analog and RF components. The all-digital transmitter consists of one QAM modulator and one RF pulse width modulator (RFPWM). The binary output waveform from RFPWM is centered at 800MHz with 64QAM signaling format. The entire transmitter is implemented using Xilinx Virtex2pro device with on chip multi-gigabit transceiver (MGT). The adjacent channel leakage ratio (ACLR) measured in the 20 MHz passband is 45dB, and the measured error vector magnitude (EVM) is less than 1%. Our work extends the digital implementation of communication applications on an FPGA platform to radio frequency, therefore making a significant evolution towards an ideal SDR","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"46 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124305213","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 43
Boosting the Role of Inductive Invariants in Model Checking 增强归纳不变量在模型检验中的作用
Pub Date : 2007-04-16 DOI: 10.1145/1266366.1266654
G. Cabodi, Sergio Nocco, S. Quer
This paper focuses on inductive invariants in unbounded model checking to improve efficiency and scalability. First of all, it introduces optimized techniques to speedup the computation of inductive invariants, considering both equivalences and implications between pairs of nodes in the logic network. Secondly, it presents a very efficient dynamic procedure, based on an incremental SAT approach, to reduce the set of checked invariants. Finally, it shows how to effectively integrate inductive invariant computations with state-of-the-art model checking procedures. Experiments address different property verification aspects, and specifically consider cases where inductive invariants alone are not sufficient for the final proof
本文主要研究无界模型检验中的归纳不变量,以提高效率和可扩展性。首先,它引入了优化技术来加速归纳不变量的计算,同时考虑了逻辑网络中节点对之间的等价性和含义。其次,提出了一种基于增量SAT方法的非常有效的动态过程来减少检查不变量集。最后,它展示了如何有效地将归纳不变计算与最先进的模型检查程序相结合。实验处理不同的性质验证方面,并特别考虑单独的归纳不变量不足以最终证明的情况
{"title":"Boosting the Role of Inductive Invariants in Model Checking","authors":"G. Cabodi, Sergio Nocco, S. Quer","doi":"10.1145/1266366.1266654","DOIUrl":"https://doi.org/10.1145/1266366.1266654","url":null,"abstract":"This paper focuses on inductive invariants in unbounded model checking to improve efficiency and scalability. First of all, it introduces optimized techniques to speedup the computation of inductive invariants, considering both equivalences and implications between pairs of nodes in the logic network. Secondly, it presents a very efficient dynamic procedure, based on an incremental SAT approach, to reduce the set of checked invariants. Finally, it shows how to effectively integrate inductive invariant computations with state-of-the-art model checking procedures. Experiments address different property verification aspects, and specifically consider cases where inductive invariants alone are not sufficient for the final proof","PeriodicalId":298961,"journal":{"name":"2007 Design, Automation & Test in Europe Conference & Exhibition","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-04-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125310434","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
期刊
2007 Design, Automation & Test in Europe Conference & Exhibition
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1