首页 > 最新文献

2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)最新文献

英文 中文
Topologically-geometric routing Topologically-geometric路由
R. Bazylevych, M. Palasinski, L. Bazylevych
The paper introduces foundations of the "Flexible Routing Method" that belongs to the topologically-geometric type. It develops the idea to divide the routing problem on two separate successive stages: topological and geometrical. At the first stage it was suggested to use a discrete topological model as Delaunay triangulation or/and Voronoi polygons to describe topology. The explicit and implicit topology models are offered which describe the relative topological nets location without specifying their geometrical characteristics. At the second stage possible is the laying the nets of arbitrary configuration: orthogonal, piecewise linear, curvilinear, under arbitrary angles and arbitrary widths.
本文介绍了拓扑几何型“柔性布线方法”的基本原理。提出了将路由问题划分为拓扑和几何两个阶段的思想。在第一阶段,建议使用离散拓扑模型作为Delaunay三角剖分或/和Voronoi多边形来描述拓扑。给出了描述相对拓扑网位置的显式和隐式拓扑模型,而不指定拓扑网的几何特征。在第二阶段可能是铺设任意配置的网:正交的,分段线性的,曲线的,任意角度和任意宽度。
{"title":"Topologically-geometric routing","authors":"R. Bazylevych, M. Palasinski, L. Bazylevych","doi":"10.1145/2947357.2947367","DOIUrl":"https://doi.org/10.1145/2947357.2947367","url":null,"abstract":"The paper introduces foundations of the \"Flexible Routing Method\" that belongs to the topologically-geometric type. It develops the idea to divide the routing problem on two separate successive stages: topological and geometrical. At the first stage it was suggested to use a discrete topological model as Delaunay triangulation or/and Voronoi polygons to describe topology. The explicit and implicit topology models are offered which describe the relative topological nets location without specifying their geometrical characteristics. At the second stage possible is the laying the nets of arbitrary configuration: orthogonal, piecewise linear, curvilinear, under arbitrary angles and arbitrary widths.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116528350","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A comparative analysis of front-end and back-end compatible silicon photonic on-chip interconnects 前端和后端兼容硅光子片上互连的比较分析
Ishan G. Thakkar, S. V. R. Chittamuru, S. Pasricha
Photonic devices fabricated with back-end compatible silicon pho-tonic (BCSP) materials can provide independence from the complex CMOS front-end compatible silicon photonic (FCSP) process, to sig-nificantly enhance photonic network-on-chip (PNoC) architecture performance. In this paper, we present a detailed comparative analy-sis of a number of design tradeoffs for CMOS front-end and back-end compatible devices for silicon photonic interconnects. A cross-layer optimization of multiple device-level and link-level design pa-rameters is performed to enable the design of energy-efficient on-chip photonic interconnects using BCSP devices. The optimized design of BCSP on-chip links renders more energy-efficiency and aggregate bandwidth than FCSP on-chip links, in spite of the inferior opto-elec-tronic properties of BCSP devices. Our experimental analysis com-pares the use of BCSP and FCSP links at the architecture level, and shows that the optimized design of the BCSP-based Firefly PNoC achieves 1.15x greater throughput and 12.4% less energy-per-bit on average than the optimized design of FCSP-based Firefly PNoC. Similarly, the optimized design of the BCSP-based Corona PNoC achieves 3.5x greater throughput and 39.5% less energy-per-bit on average than the optimized design of FCSP-based Corona PNoC.
后端兼容硅光子(BCSP)材料制备的光子器件可以独立于复杂的CMOS前端兼容硅光子(FCSP)工艺,显著提高光子片上网络(PNoC)架构性能。在本文中,我们对硅光子互连的CMOS前端和后端兼容器件的一些设计权衡进行了详细的比较分析。对多个器件级和链路级设计参数进行了跨层优化,以便使用BCSP器件设计节能的片上光子互连。尽管BCSP器件的光电性能较差,但优化设计的BCSP片上链路比FCSP片上链路具有更高的能效和聚合带宽。我们的实验分析比较了BCSP和FCSP链路在架构层面的使用,结果表明,优化设计的基于BCSP的Firefly PNoC的吞吐量比基于FCSP的优化设计的Firefly PNoC提高了1.15倍,平均每比特能耗减少了12.4%。同样,与基于fcsp的Corona PNoC优化设计相比,基于bcsp的Corona PNoC优化设计的吞吐量提高了3.5倍,平均每比特能耗降低了39.5%。
{"title":"A comparative analysis of front-end and back-end compatible silicon photonic on-chip interconnects","authors":"Ishan G. Thakkar, S. V. R. Chittamuru, S. Pasricha","doi":"10.1145/2947357.2947362","DOIUrl":"https://doi.org/10.1145/2947357.2947362","url":null,"abstract":"Photonic devices fabricated with back-end compatible silicon pho-tonic (BCSP) materials can provide independence from the complex CMOS front-end compatible silicon photonic (FCSP) process, to sig-nificantly enhance photonic network-on-chip (PNoC) architecture performance. In this paper, we present a detailed comparative analy-sis of a number of design tradeoffs for CMOS front-end and back-end compatible devices for silicon photonic interconnects. A cross-layer optimization of multiple device-level and link-level design pa-rameters is performed to enable the design of energy-efficient on-chip photonic interconnects using BCSP devices. The optimized design of BCSP on-chip links renders more energy-efficiency and aggregate bandwidth than FCSP on-chip links, in spite of the inferior opto-elec-tronic properties of BCSP devices. Our experimental analysis com-pares the use of BCSP and FCSP links at the architecture level, and shows that the optimized design of the BCSP-based Firefly PNoC achieves 1.15x greater throughput and 12.4% less energy-per-bit on average than the optimized design of FCSP-based Firefly PNoC. Similarly, the optimized design of the BCSP-based Corona PNoC achieves 3.5x greater throughput and 39.5% less energy-per-bit on average than the optimized design of FCSP-based Corona PNoC.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133305660","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Latch clustering for minimizing detection-to-boosting latency toward low-power resilient circuits 针对低功耗弹性电路最小化检测到提升延迟的锁存聚类
Chih-Cheng Hsu, Mark Po-Hung Lin, M. Hashimoto
Dynamic voltage scaling (DVS) has become one of the most effective approaches to achieve ultra-low-power SoC. To eliminate timing errors arising from DVS, several error-resilient circuit design techniques were proposed to detect and/or correct timing violations. The most recently proposed time-borrowing-and-local-boosting (TBLB) technique has the advantage of lower power consumption and less performance degradation due to the needlessness of pipeline stalls. On the other hand, to make the best use of the TBLB technique, a special timing requirement for TBLB latches must be considered in the physical design process. To address this issue, a novel reliability-aware latch clustering method for low-power TBLB resilient circuits is introduced. Experimental results show that the proposed approach is very effective in reducing the delay of both combinational and error-detection circuits, which indicates better circuit reliability.
动态电压缩放(DVS)已成为实现超低功耗SoC的最有效方法之一。为了消除由分布式交换机引起的时序误差,提出了几种容错电路设计技术来检测和/或纠正时序违规。最近提出的时间借用和局部增强(TBLB)技术具有较低的功耗和较少的性能下降,因为不需要管道失速。另一方面,为了充分利用TBLB技术,在物理设计过程中必须考虑对TBLB锁存器的特殊时序要求。为了解决这一问题,提出了一种新的低功耗TBLB弹性电路的可靠性感知锁存器聚类方法。实验结果表明,该方法有效地降低了组合电路和检错电路的时延,提高了电路的可靠性。
{"title":"Latch clustering for minimizing detection-to-boosting latency toward low-power resilient circuits","authors":"Chih-Cheng Hsu, Mark Po-Hung Lin, M. Hashimoto","doi":"10.1145/2947357.2947364","DOIUrl":"https://doi.org/10.1145/2947357.2947364","url":null,"abstract":"Dynamic voltage scaling (DVS) has become one of the most effective approaches to achieve ultra-low-power SoC. To eliminate timing errors arising from DVS, several error-resilient circuit design techniques were proposed to detect and/or correct timing violations. The most recently proposed time-borrowing-and-local-boosting (TBLB) technique has the advantage of lower power consumption and less performance degradation due to the needlessness of pipeline stalls. On the other hand, to make the best use of the TBLB technique, a special timing requirement for TBLB latches must be considered in the physical design process. To address this issue, a novel reliability-aware latch clustering method for low-power TBLB resilient circuits is introduced. Experimental results show that the proposed approach is very effective in reducing the delay of both combinational and error-detection circuits, which indicates better circuit reliability.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126021055","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Buffered interconnects in 3D IC layout design 三维集成电路布局设计中的缓冲互连
Mohammad A. Ahmed, S. Mohapatra, M. Chrzanowska-Jeske
A very important challenge in designing through-silicon via (TSV)-based 3D ICs is to accurately estimate, through all stages of the physical design, the interconnect delay which is strongly dependent on the layout of 3D IC. The earlier in the design process and more accurate it can be done; the better design decisions can be made. Incorporating an optimal buffer insertion approach in the early layout design stage can significantly minimize delay and power in 3D circuits. Unlike 2D ICs, buffer insertion in 3D ICs needs careful consideration of additional design constraints in interconnects spanning multiple device layers. In this paper, we propose a novel buffer insertion scheme for delay optimization during 3D floorplanning. For individual 3D nets, the algorithm efficiently computes the desired distance between consecutive buffers (buffer insertion length), which depends on the non-negligible TSV RC delay contribution of the net. This technique of variable buffer insertion length, used during floorplanning, allows optimizing buffers for individual 3D interconnects and reduces overall buffer count by up to 25% and total power consumption by up to 12%. The proposed approach also includes a method for buffer insertion around a TSV, based on the TSV location and its RC delay. Our experiments suggest that the proposed method of buffer planning around TSVs avoids delay violation and reduces delay across TSVs up to 11%, minimizing buffer usage. The paper also analyzes the impact of key parameters such as buffer size and TSV contact resistance on the delay and power dissipation in 3D interconnects.
设计基于硅通孔(TSV)的3D集成电路的一个非常重要的挑战是,通过物理设计的各个阶段,准确地估计互连延迟,这强烈依赖于3D集成电路的布局。在设计过程中越早越准确;这样才能做出更好的设计决策。在布局设计的早期阶段采用最优的缓冲器插入方法可以显著降低3D电路的延迟和功耗。与2D集成电路不同,3D集成电路中的缓冲区插入需要仔细考虑跨多个器件层互连中的附加设计约束。在本文中,我们提出了一种新的缓冲插入方案,用于三维平面规划中的延迟优化。对于单个三维网络,该算法有效地计算连续缓冲区之间的所需距离(缓冲区插入长度),这取决于网络不可忽略的TSV RC延迟贡献。这种可变缓冲区插入长度的技术在平面规划中使用,可以优化单个3D互连的缓冲区,并将总缓冲区数量减少25%,总功耗减少12%。该方法还包括一种基于TSV位置及其RC延迟的TSV周围缓冲区插入方法。我们的实验表明,所提出的围绕tsv的缓冲区规划方法避免了延迟冲突,并将tsv之间的延迟减少了11%,最大限度地减少了缓冲区的使用。本文还分析了缓冲尺寸和TSV接触电阻等关键参数对三维互连延迟和功耗的影响。
{"title":"Buffered interconnects in 3D IC layout design","authors":"Mohammad A. Ahmed, S. Mohapatra, M. Chrzanowska-Jeske","doi":"10.1145/2947357.2947366","DOIUrl":"https://doi.org/10.1145/2947357.2947366","url":null,"abstract":"A very important challenge in designing through-silicon via (TSV)-based 3D ICs is to accurately estimate, through all stages of the physical design, the interconnect delay which is strongly dependent on the layout of 3D IC. The earlier in the design process and more accurate it can be done; the better design decisions can be made. Incorporating an optimal buffer insertion approach in the early layout design stage can significantly minimize delay and power in 3D circuits. Unlike 2D ICs, buffer insertion in 3D ICs needs careful consideration of additional design constraints in interconnects spanning multiple device layers. In this paper, we propose a novel buffer insertion scheme for delay optimization during 3D floorplanning. For individual 3D nets, the algorithm efficiently computes the desired distance between consecutive buffers (buffer insertion length), which depends on the non-negligible TSV RC delay contribution of the net. This technique of variable buffer insertion length, used during floorplanning, allows optimizing buffers for individual 3D interconnects and reduces overall buffer count by up to 25% and total power consumption by up to 12%. The proposed approach also includes a method for buffer insertion around a TSV, based on the TSV location and its RC delay. Our experiments suggest that the proposed method of buffer planning around TSVs avoids delay violation and reduces delay across TSVs up to 11%, minimizing buffer usage. The paper also analyzes the impact of key parameters such as buffer size and TSV contact resistance on the delay and power dissipation in 3D interconnects.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123757456","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Connectivity effects on energy and area for neuromorphic system with high speed asynchronous pulse mode links 高速异步脉冲模式链路对神经形态系统能量和面积的连接效应
Carrie Segal, Aditya Dalakoti, Merritt Miller, F. Brewer
Hardware neuromorphic systems are challenged to achieve biologically realistic levels of interconnectivity. When building a physical implementation of a neural net, the properties of the media immediately impose limits on the number of interconnects and available timing options. The design of any system must consider the energy and area costs associated with the physical layout of neuron core connectivity, rst, by accepting the wiring limits imposed by Rent's rule and second, by understanding the temporal overhead introduced by routing. The presented results show the energyarea trade-o for a model of a neuromorphic system with event driven interconnections. The low area overhead of the asynchronous pulse-mode links create an attractive opportunity for a digital neuromorphic system with a connectivity model closer to the existing software models of neural nets.
硬件神经形态系统面临的挑战是实现生物现实水平的互联性。当构建神经网络的物理实现时,媒体的属性立即限制了互连的数量和可用的定时选项。任何系统的设计都必须考虑与神经元核心连接的物理布局相关的能量和面积成本,首先,通过接受Rent规则施加的布线限制,其次,通过理解路由引入的时间开销。给出的结果显示了具有事件驱动互连的神经形态系统模型的能量面积交换。异步脉冲模式链路的低面积开销为具有更接近现有神经网络软件模型的连接模型的数字神经形态系统创造了一个有吸引力的机会。
{"title":"Connectivity effects on energy and area for neuromorphic system with high speed asynchronous pulse mode links","authors":"Carrie Segal, Aditya Dalakoti, Merritt Miller, F. Brewer","doi":"10.1145/2947357.2947365","DOIUrl":"https://doi.org/10.1145/2947357.2947365","url":null,"abstract":"Hardware neuromorphic systems are challenged to achieve biologically realistic levels of interconnectivity. When building a physical implementation of a neural net, the properties of the media immediately impose limits on the number of interconnects and available timing options. The design of any system must consider the energy and area costs associated with the physical layout of neuron core connectivity, rst, by accepting the wiring limits imposed by Rent's rule and second, by understanding the temporal overhead introduced by routing. The presented results show the energyarea trade-o for a model of a neuromorphic system with event driven interconnections. The low area overhead of the asynchronous pulse-mode links create an attractive opportunity for a digital neuromorphic system with a connectivity model closer to the existing software models of neural nets.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123925178","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A demand-aware predictive dynamic bandwidth allocation mechanism for wireless network-on-chip 面向无线片上网络的需求感知预测动态带宽分配机制
N. Mansoor, Md Shahriar Shamim, A. Ganguly
Long distance data communication over multi-hop wireline paths in conventional Networks-on-Chips (NoCs) cause high energy consumption and degradation in bandwidth. Wireless interconnects in the millimeter-wave band have emerged as an energy-efficient interconnection paradigm for multi-core chips interconnected with NoCs. However, spatial variations in traffic distribution and temporal variations in workloads can exert variable bandwidth demands on the NoC fabric. Wireless interconnects which do not require a physical layout of interconnects can be utilized to mitigate this issue. In order to dynamically allocate variable bandwidth to the wireless transceivers depending on the demand, the design of a dynamic and efficient Medium Access Control (MAC) mechanism to grant access to the on-chip wireless communication channel is needed. In this paper, a history based predictor, which can predict the bandwidth demand of the wireless nodes in the wireless NoC is designed. Based on these predicted demands we propose the design of two MAC mechanisms that are able to dynamically allocate bandwidth to the wireless transceivers. Through system level simulations, we show that the demand-aware MAC mechanisms are more energy efficient as well as capable of sustaining higher data bandwidth in wireless NoCs.
在传统的片上网络(noc)中,通过多跳有线路径进行长距离数据通信会造成高能耗和带宽下降。毫米波频段的无线互连已经成为与noc互连的多核芯片的节能互连范例。然而,流量分布的空间变化和工作负载的时间变化会对NoC结构产生不同的带宽需求。无线互连不需要互连的物理布局,可以用来缓解这个问题。为了根据需求动态分配可变带宽给无线收发器,需要设计一种动态高效的介质访问控制(MAC)机制来授予对片上无线通信信道的访问权限。本文设计了一种基于历史的预测器,用于预测无线NoC中无线节点的带宽需求。基于这些预测需求,我们提出了两种MAC机制的设计,能够动态地分配带宽给无线收发器。通过系统级仿真,我们表明需求感知MAC机制更节能,并且能够在无线noc中维持更高的数据带宽。
{"title":"A demand-aware predictive dynamic bandwidth allocation mechanism for wireless network-on-chip","authors":"N. Mansoor, Md Shahriar Shamim, A. Ganguly","doi":"10.1145/2947357.2947361","DOIUrl":"https://doi.org/10.1145/2947357.2947361","url":null,"abstract":"Long distance data communication over multi-hop wireline paths in conventional Networks-on-Chips (NoCs) cause high energy consumption and degradation in bandwidth. Wireless interconnects in the millimeter-wave band have emerged as an energy-efficient interconnection paradigm for multi-core chips interconnected with NoCs. However, spatial variations in traffic distribution and temporal variations in workloads can exert variable bandwidth demands on the NoC fabric. Wireless interconnects which do not require a physical layout of interconnects can be utilized to mitigate this issue. In order to dynamically allocate variable bandwidth to the wireless transceivers depending on the demand, the design of a dynamic and efficient Medium Access Control (MAC) mechanism to grant access to the on-chip wireless communication channel is needed. In this paper, a history based predictor, which can predict the bandwidth demand of the wireless nodes in the wireless NoC is designed. Based on these predicted demands we propose the design of two MAC mechanisms that are able to dynamically allocate bandwidth to the wireless transceivers. Through system level simulations, we show that the demand-aware MAC mechanisms are more energy efficient as well as capable of sustaining higher data bandwidth in wireless NoCs.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122050195","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Revisiting 3DIC Benefit with Multiple Tiers 重新审视多层次的3DIC利益
W. Chan, A. Kahng, Jiajia Li
3DICs with multiple tiers are expected to achieve large benefits (e.g., in terms of power, area) as compared to conventional planar designs. However, few if any previous works study upper bounds on power and area benefits from 3DIC integration with multiple tiers. In this work, we use the concept of implementation with infinite dimension to estimate the upper bound of power and area benefit from 3DICs. We observe that the maximum power benefit evaluated with infinite dimension is only 18% for particular designs. Such benefits further reduce under the assumption of inter-tier variation. In addition, we study power of designs across various dimensions (e.g., pseudo-1D, 2D, 3D with two, three and four tiers).1 We observe that design power sensitivity to implementation with different dimensions correlates well with placement-based Rent parameter of the netlist. Therefore, placement-based Rent parameter can possibly be a simple indicator of 3D power benefit. Our study also shows that netlist synthesis and optimization should be aware of the target implementation dimension (e.g., 2D versus 3D).
与传统平面设计相比,具有多层的3dic有望实现更大的优势(例如,在功率、面积方面)。然而,很少有以前的工作研究功率和面积上限从多层3DIC集成中获益。在这项工作中,我们使用无限维实现的概念来估计3dic的功率和面积效益的上界。我们观察到,对于特定设计,无限维的最大功率效益评估仅为18%。在层间变化的假设下,这种收益进一步减少。此外,我们还研究了不同维度(例如,伪1d, 2D, 3D,两层,三层和四层)的设计能力我们观察到设计功率对不同维度实现的灵敏度与基于位置的网络列表的Rent参数有很好的相关性。因此,基于位置的Rent参数可能是3D功率效益的一个简单指标。我们的研究还表明,网表的合成和优化应该意识到目标实现维度(例如,2D与3D)。
{"title":"Revisiting 3DIC Benefit with Multiple Tiers","authors":"W. Chan, A. Kahng, Jiajia Li","doi":"10.1145/2947357.2947363","DOIUrl":"https://doi.org/10.1145/2947357.2947363","url":null,"abstract":"3DICs with multiple tiers are expected to achieve large benefits (e.g., in terms of power, area) as compared to conventional planar designs. However, few if any previous works study upper bounds on power and area benefits from 3DIC integration with multiple tiers. In this work, we use the concept of implementation with infinite dimension to estimate the upper bound of power and area benefit from 3DICs. We observe that the maximum power benefit evaluated with infinite dimension is only 18% for particular designs. Such benefits further reduce under the assumption of inter-tier variation. In addition, we study power of designs across various dimensions (e.g., pseudo-1D, 2D, 3D with two, three and four tiers).1 We observe that design power sensitivity to implementation with different dimensions correlates well with placement-based Rent parameter of the netlist. Therefore, placement-based Rent parameter can possibly be a simple indicator of 3D power benefit. Our study also shows that netlist synthesis and optimization should be aware of the target implementation dimension (e.g., 2D versus 3D).","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115586331","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Spin-hall assisted STT-RAM design and discussion Spin-hall协助STT-RAM设计与讨论
Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai Helen Li, Yiran Chen
In recent years, Spin-Transfer Torque Random Access Memory (STT-RAM) has attracted significant attentions from both industry and academia due to its attractive attributes such as small cell area and non-volatility. However, long switching time and large programming energy of Magnetic Tunneling Junction (MTJ) continue being major challenges in STT-RAM designs. In order to overcome this problem, a Spin-Hall Effect (SHE) assisted STT-RAM structure (SHE-RAM) has been recently invented. In this work, we investigate two possible SHE-RAM designs from the aspects of two different write access operations, namely, High Density SHE-RAM and Disturbance Free SHE-RAM, respectively. In High Density SHE-RAM, SHE current is shared by the entire bit line. Such a structure removes the SHE control transistor from each SHE-RAM cell and hence, substantially reduces the memory cell area. In Disturbance Free SHE-RAM, one memory cell contains two transistors to remove the disturbance to the unselected bits and eliminate the possible erroneous flipping of the bits.
近年来,自旋转移扭矩随机存取存储器(STT-RAM)以其小单元面积和非易失性等优点受到了工业界和学术界的广泛关注。然而,磁性隧道结(MTJ)的开关时间长和编程能量大仍然是STT-RAM设计的主要挑战。为了克服这个问题,最近发明了一种自旋霍尔效应(SHE)辅助的STT-RAM结构(SHE- ram)。在这项工作中,我们从两种不同的写访问操作方面分别研究了两种可能的SHE-RAM设计,即高密度SHE-RAM和无扰动SHE-RAM。在高密度SHE- ram中,SHE电流由整个位线共享。这种结构将SHE控制晶体管从每个SHE- ram单元中移除,从而大大减少了存储单元的面积。在无干扰SHE-RAM中,一个存储单元包含两个晶体管,以消除对未选择位的干扰并消除位可能的错误翻转。
{"title":"Spin-hall assisted STT-RAM design and discussion","authors":"Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai Helen Li, Yiran Chen","doi":"10.1145/2947357.2947360","DOIUrl":"https://doi.org/10.1145/2947357.2947360","url":null,"abstract":"In recent years, Spin-Transfer Torque Random Access Memory (STT-RAM) has attracted significant attentions from both industry and academia due to its attractive attributes such as small cell area and non-volatility. However, long switching time and large programming energy of Magnetic Tunneling Junction (MTJ) continue being major challenges in STT-RAM designs. In order to overcome this problem, a Spin-Hall Effect (SHE) assisted STT-RAM structure (SHE-RAM) has been recently invented. In this work, we investigate two possible SHE-RAM designs from the aspects of two different write access operations, namely, High Density SHE-RAM and Disturbance Free SHE-RAM, respectively. In High Density SHE-RAM, SHE current is shared by the entire bit line. Such a structure removes the SHE control transistor from each SHE-RAM cell and hence, substantially reduces the memory cell area. In Disturbance Free SHE-RAM, one memory cell contains two transistors to remove the disturbance to the unselected bits and eliminate the possible erroneous flipping of the bits.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2015-05-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131015847","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
[Front Matter] (前页)
A. Fu, A. Halevy
Presents the font cover of this conference.
介绍本次会议的字体封面。
{"title":"[Front Matter]","authors":"A. Fu, A. Halevy","doi":"10.1525/jer.2007.2.1.fm","DOIUrl":"https://doi.org/10.1525/jer.2007.2.1.fm","url":null,"abstract":"Presents the font cover of this conference.","PeriodicalId":331624,"journal":{"name":"2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134304333","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1