首页 > 最新文献

2008 13th European Test Symposium最新文献

英文 中文
On Bypassing Blocking Bugs during Post-Silicon Validation 关于绕过后硅验证过程中的阻塞bug
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.29
Ehab Anis Daoud, N. Nicolici
Design errors (or bugs) inadvertently escape the pre- silicon verification process. Before committing to a re-spin, it is expected that the escaped bugs have been identified during post-silicon validation. This is however hindered by the presence of blocking bugs in one erroneous module that inhibit the search for bugs in other parts of the chip that process data received from the erroneous module. In this paper we discuss how to design a novel embedded debug module that can bypass blocking bugs and aid the designer in validating the first silicon.
设计错误(或bug)不经意间逃过了预硅验证过程。在提交重新编译之前,预计在post-silicon验证期间已经识别了已逃脱的错误。然而,由于在一个错误模块中存在阻塞错误,这阻碍了在处理从错误模块接收到的数据的芯片的其他部分中查找错误。在本文中,我们讨论了如何设计一种新的嵌入式调试模块,它可以绕过阻塞错误,并帮助设计者验证第一个芯片。
{"title":"On Bypassing Blocking Bugs during Post-Silicon Validation","authors":"Ehab Anis Daoud, N. Nicolici","doi":"10.1109/ETS.2008.29","DOIUrl":"https://doi.org/10.1109/ETS.2008.29","url":null,"abstract":"Design errors (or bugs) inadvertently escape the pre- silicon verification process. Before committing to a re-spin, it is expected that the escaped bugs have been identified during post-silicon validation. This is however hindered by the presence of blocking bugs in one erroneous module that inhibit the search for bugs in other parts of the chip that process data received from the erroneous module. In this paper we discuss how to design a novel embedded debug module that can bypass blocking bugs and aid the designer in validating the first silicon.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"22 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125677391","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Function-Inherent Code Checking: A New Low Cost On-Line Testing Approach for High Performance Microprocessor Control Logic 功能固有代码检测:一种低成本的高性能微处理器控制逻辑在线测试新方法
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.24
C. Metra, Daniele Rossi, M. Omaña, A. Jas, R. Galivanche
We propose an on-line testing approach for the control logic of high performance microprocessors. Rather than adding information redundancy (in the form of error detecting codes), we propose to look for the information redundancy (referred to as function-inherent codes) that the microprocessor control logic may inherently have, due to its required functionality. We will show that this allows to achieve on-line testing at significant savings in terms of area and power consumption, and with lower or comparable impact on system performance and design costs, compared to alternate, traditional on-line testing approaches.
我们提出了一种高性能微处理器控制逻辑的在线测试方法。而不是增加信息冗余(以错误检测代码的形式),我们建议寻找信息冗余(称为功能固有代码),微处理器控制逻辑可能固有的,由于其所需的功能。我们将展示,与替代的传统在线测试方法相比,这允许在显著节省面积和功耗的情况下实现在线测试,并且对系统性能和设计成本的影响更低或相当。
{"title":"Function-Inherent Code Checking: A New Low Cost On-Line Testing Approach for High Performance Microprocessor Control Logic","authors":"C. Metra, Daniele Rossi, M. Omaña, A. Jas, R. Galivanche","doi":"10.1109/ETS.2008.24","DOIUrl":"https://doi.org/10.1109/ETS.2008.24","url":null,"abstract":"We propose an on-line testing approach for the control logic of high performance microprocessors. Rather than adding information redundancy (in the form of error detecting codes), we propose to look for the information redundancy (referred to as function-inherent codes) that the microprocessor control logic may inherently have, due to its required functionality. We will show that this allows to achieve on-line testing at significant savings in terms of area and power consumption, and with lower or comparable impact on system performance and design costs, compared to alternate, traditional on-line testing approaches.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"19 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122424323","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Tunable Transient Filters for Soft Error Rate Reduction in Combinational Circuits 用于降低组合电路软错误率的可调瞬态滤波器
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.39
Q. Zhou, M. Choudhury, K. Mohanram
This paper describes a tunable transient filter (TTF) design for soft error rate reduction in combinational logic circuits. TTFs can be inserted into combinational circuits to suppress propagated single- event upsets (SEUs) before they can be captured in latches/flip- flops. TTFs are tuned by adjusting the maximum width of the propagated SEU that can be suppressed. TTFs require 6-14 transistors, making them an attractive cost-effective option to reduce the soft error rate in combinational circuits. A global optimization approach based on geometric programming that integrates TTF insertion with dual-VoD and gate sizing is described. Simulation results for the 70 nm process technology indicate that a 17-48X reduction in the soft error rate can be achieved with this approach.
本文介绍了一种可调瞬态滤波器(TTF)的设计,用于降低组合逻辑电路中的软错误率。ttf可以插入到组合电路中,在它们被锁存器/触发器捕获之前抑制传播的单事件干扰(seu)。ttf是通过调整可抑制的传播的SEU的最大宽度来调整的。ttf需要6-14个晶体管,使其成为降低组合电路软错误率的有吸引力的经济有效的选择。提出了一种基于几何规划的TTF插入、双点阵点阵和栅极尺寸集成的全局优化方法。70 nm工艺的仿真结果表明,采用该方法可将软错误率降低17-48倍。
{"title":"Tunable Transient Filters for Soft Error Rate Reduction in Combinational Circuits","authors":"Q. Zhou, M. Choudhury, K. Mohanram","doi":"10.1109/ETS.2008.39","DOIUrl":"https://doi.org/10.1109/ETS.2008.39","url":null,"abstract":"This paper describes a tunable transient filter (TTF) design for soft error rate reduction in combinational logic circuits. TTFs can be inserted into combinational circuits to suppress propagated single- event upsets (SEUs) before they can be captured in latches/flip- flops. TTFs are tuned by adjusting the maximum width of the propagated SEU that can be suppressed. TTFs require 6-14 transistors, making them an attractive cost-effective option to reduce the soft error rate in combinational circuits. A global optimization approach based on geometric programming that integrates TTF insertion with dual-VoD and gate sizing is described. Simulation results for the 70 nm process technology indicate that a 17-48X reduction in the soft error rate can be achieved with this approach.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"38 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129843174","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Low Overhead Partial Enhanced Scan Technique for Compact and High Fault Coverage Transition Delay Test Patterns 紧凑高故障覆盖率过渡延迟测试模式的低开销部分增强扫描技术
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.12
Seongmoon Wang, Wenlong Wei
This paper presents a scan-based DFT technique that uses limited number of enhanced scan cells to reduce volume of delay test patterns and improve delay fault coverage. The proposed method controls a small number of enhanced scan cells by the skewed-load approach and the rest of scan cells by the broadside approach. Inserting enhanced scan cells reduces test data volume and ATPG run time and improves delay fault coverage. Hardware overhead for the proposed method is very low. The scan inputs where enhanced scan cells are inserted are selected by gain functions, which consist of controllability costs and usefulness measures. A regular ATPG can be used to generate transition delay test patterns for the proposed method. Experimental results show that test data volume is reduced by up to 65% and fault coverage is improved by up to about 6%.
本文提出了一种基于扫描的DFT技术,该技术使用有限数量的增强扫描单元来减少延迟测试模式的体积,提高延迟故障覆盖率。该方法通过倾斜加载方法控制少量增强扫描单元,而通过宽侧加载方法控制其余扫描单元。插入增强扫描单元可以减少测试数据量和ATPG运行时间,并提高延迟故障覆盖率。所提出的方法的硬件开销非常低。通过增益函数选择插入增强扫描单元的扫描输入,增益函数由可控性代价和有用性度量组成。对于所提出的方法,可以使用一个规则的ATPG来生成转换延迟测试模式。实验结果表明,测试数据量减少了65%,故障覆盖率提高了6%左右。
{"title":"Low Overhead Partial Enhanced Scan Technique for Compact and High Fault Coverage Transition Delay Test Patterns","authors":"Seongmoon Wang, Wenlong Wei","doi":"10.1109/ETS.2008.12","DOIUrl":"https://doi.org/10.1109/ETS.2008.12","url":null,"abstract":"This paper presents a scan-based DFT technique that uses limited number of enhanced scan cells to reduce volume of delay test patterns and improve delay fault coverage. The proposed method controls a small number of enhanced scan cells by the skewed-load approach and the rest of scan cells by the broadside approach. Inserting enhanced scan cells reduces test data volume and ATPG run time and improves delay fault coverage. Hardware overhead for the proposed method is very low. The scan inputs where enhanced scan cells are inserted are selected by gain functions, which consist of controllability costs and usefulness measures. A regular ATPG can be used to generate transition delay test patterns for the proposed method. Experimental results show that test data volume is reduced by up to 65% and fault coverage is improved by up to about 6%.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"109 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133629692","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
Self-Programmable Shared BIST for Testing Multiple Memories 用于测试多存储器的自编程共享BIST
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.16
Swapnil Bahl, Vishal Srivastava
Hundreds of memory instances and their frequency of operation have ruled out the possibility of sharing test structures amongst the embedded memories. This paper discusses the techniques and flow for sharing an embedded memory BIST for the at- speed testing of multiple memories on a typical SoC.
数以百计的内存实例及其操作频率排除了在嵌入式内存之间共享测试结构的可能性。本文讨论了共享嵌入式存储器BIST的技术和流程,用于在典型SoC上对多个存储器进行高速测试。
{"title":"Self-Programmable Shared BIST for Testing Multiple Memories","authors":"Swapnil Bahl, Vishal Srivastava","doi":"10.1109/ETS.2008.16","DOIUrl":"https://doi.org/10.1109/ETS.2008.16","url":null,"abstract":"Hundreds of memory instances and their frequency of operation have ruled out the possibility of sharing test structures amongst the embedded memories. This paper discusses the techniques and flow for sharing an embedded memory BIST for the at- speed testing of multiple memories on a typical SoC.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"128 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134518697","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Diagnose Multiple Stuck-at Scan Chain Faults 诊断多个卡在扫描链故障
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.20
Yu Huang, Wu-Tung Cheng, Ruifeng Guo
Prior effect-cause based chain diagnosis algorithms suffer from accuracy and performance problems when multiple stuck-at faults exist on the same scan chain. In this paper, we propose new chain diagnosis algorithms based on dominant fault pair to enhance diagnosis accuracy and efficiency. Several heuristic techniques are proposed, which include (1) double candidate range calculation, (2) dynamic learning and (3) two- dimensional space linear search. The experimental results illustrate the effectiveness and efficiency of the proposed chain diagnosis algorithms.
当同一扫描链上存在多个卡滞故障时,基于先验效应原因的链诊断算法存在准确性和性能问题。本文提出了基于优势故障对的链式诊断算法,以提高诊断的准确性和效率。提出了几种启发式技术,包括(1)双候选范围计算,(2)动态学习和(3)二维空间线性搜索。实验结果验证了所提链诊断算法的有效性和高效性。
{"title":"Diagnose Multiple Stuck-at Scan Chain Faults","authors":"Yu Huang, Wu-Tung Cheng, Ruifeng Guo","doi":"10.1109/ETS.2008.20","DOIUrl":"https://doi.org/10.1109/ETS.2008.20","url":null,"abstract":"Prior effect-cause based chain diagnosis algorithms suffer from accuracy and performance problems when multiple stuck-at faults exist on the same scan chain. In this paper, we propose new chain diagnosis algorithms based on dominant fault pair to enhance diagnosis accuracy and efficiency. Several heuristic techniques are proposed, which include (1) double candidate range calculation, (2) dynamic learning and (3) two- dimensional space linear search. The experimental results illustrate the effectiveness and efficiency of the proposed chain diagnosis algorithms.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"59 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133006840","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
The Future Is Low Power and Test 未来是低功耗和测试
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.37
T. Williams
Summary form only given. Dr. Gordon E. Moore's Law - integration's capacity doubles every two years - is under server pressure. Leakage power is the major factor in the challenge to keep Moore's Law alive and well. As process technologies continue to shrink, and feature demands continue to increase, more and more capabilities are being pushed into smaller and smaller packages. But are we finally reaching the point where power density limitations make this trend no longer sustainable? The test environment has long been known to be more severe power wise than the functional environment. To that end, a number of new approaches need to be taken in the test area to control not only scan in power but also the capture power. What advanced techniques are in use today, and on the horizon, to address this? Are we limited only to hardware techniques, or can these power limitation issues be addressed with smarter software, such as automatic test pattern generation tools? And how do we handle verification of these complex implementations? How do these new demands affect our ability to compress test time and test data volume? This paper explores possible methods for improving the "power capacity" of power-sensitive design from both the functional and test perspectives.
只提供摘要形式。戈登·e·摩尔博士的定律——集成能力每两年翻一番——正受到服务器的压力。漏功率是挑战摩尔定律的主要因素。随着工艺技术的不断缩小,以及对特性需求的不断增加,越来越多的功能被推入越来越小的封装中。但是,我们是否最终达到了功率密度限制使这一趋势不再可持续的地步?长期以来,人们都知道测试环境比功能环境的功耗要求更严格。为此,需要在测试领域采用许多新方法来控制扫描功率和捕获功率。为了解决这个问题,目前正在使用哪些先进的技术?我们是否仅仅局限于硬件技术,或者这些功率限制问题是否可以用更智能的软件来解决,比如自动测试模式生成工具?我们如何处理这些复杂实现的验证?这些新的需求如何影响我们压缩测试时间和测试数据量的能力?本文从功能和测试两方面探讨了提高功率敏感设计“功率容量”的可能方法。
{"title":"The Future Is Low Power and Test","authors":"T. Williams","doi":"10.1109/ETS.2008.37","DOIUrl":"https://doi.org/10.1109/ETS.2008.37","url":null,"abstract":"Summary form only given. Dr. Gordon E. Moore's Law - integration's capacity doubles every two years - is under server pressure. Leakage power is the major factor in the challenge to keep Moore's Law alive and well. As process technologies continue to shrink, and feature demands continue to increase, more and more capabilities are being pushed into smaller and smaller packages. But are we finally reaching the point where power density limitations make this trend no longer sustainable? The test environment has long been known to be more severe power wise than the functional environment. To that end, a number of new approaches need to be taken in the test area to control not only scan in power but also the capture power. What advanced techniques are in use today, and on the horizon, to address this? Are we limited only to hardware techniques, or can these power limitation issues be addressed with smarter software, such as automatic test pattern generation tools? And how do we handle verification of these complex implementations? How do these new demands affect our ability to compress test time and test data volume? This paper explores possible methods for improving the \"power capacity\" of power-sensitive design from both the functional and test perspectives.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123455200","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Confidence Estimation in Non-RF to RF Correlation-Based Specification Test Compaction 基于非射频到射频相关的规格测试压缩中的置信度估计
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.31
Nathan Kupp, P. Drineas, M. Slamani, Y. Makris
Several existing methodologies have leveraged the correlation between the non-RF and the RF performances of a circuit in order to predict the latter from the former and, thus, reduce test cost. While this form of specification test compaction eliminates the need for expensive RF measurements, it also comes at the cost of reduced test accuracy, since the retained non-RF measurements and pertinent correlation models do not always suffice for adequately predicting the omitted RF measurements. To alleviate this problem, we develop a methodology that estimates the confidence in the obtained test outcome. Subsequently, devices for which this confidence is insufficient are retested through the complete specification test suite. As we demonstrate on production test data from a zero-IF down-converter fabricated at IBM, the proposed method outperforms previous defect filtering and guard banding methods and enables a more efficient exploration of the tradeoff between test accuracy and number of retested devices.
现有的几种方法利用了电路的非射频和射频性能之间的相关性,以便从前者预测后者,从而降低测试成本。虽然这种形式的规格测试压缩消除了昂贵的RF测量的需要,但它也以降低测试精度为代价,因为保留的非RF测量和相关的相关模型并不总是足以充分预测省略的RF测量。为了缓解这个问题,我们开发了一种方法来估计获得的测试结果的置信度。随后,该置信度不足的设备通过完整的规格测试套件重新测试。正如我们在IBM制造的零中频下变频器的生产测试数据上所展示的那样,所提出的方法优于以前的缺陷滤波和保护带方法,并且能够更有效地探索测试精度和重新测试设备数量之间的权衡。
{"title":"Confidence Estimation in Non-RF to RF Correlation-Based Specification Test Compaction","authors":"Nathan Kupp, P. Drineas, M. Slamani, Y. Makris","doi":"10.1109/ETS.2008.31","DOIUrl":"https://doi.org/10.1109/ETS.2008.31","url":null,"abstract":"Several existing methodologies have leveraged the correlation between the non-RF and the RF performances of a circuit in order to predict the latter from the former and, thus, reduce test cost. While this form of specification test compaction eliminates the need for expensive RF measurements, it also comes at the cost of reduced test accuracy, since the retained non-RF measurements and pertinent correlation models do not always suffice for adequately predicting the omitted RF measurements. To alleviate this problem, we develop a methodology that estimates the confidence in the obtained test outcome. Subsequently, devices for which this confidence is insufficient are retested through the complete specification test suite. As we demonstrate on production test data from a zero-IF down-converter fabricated at IBM, the proposed method outperforms previous defect filtering and guard banding methods and enables a more efficient exploration of the tradeoff between test accuracy and number of retested devices.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"49 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133760308","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
The Role of Test in Circuits Built with Unreliable Components 测试在由不可靠元件构成的电路中的作用
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.36
A. Rubio
The talk will reconsider the role of the test in new emerging device circuits for CMOS Terascale and further technologies where a high level of redundancy will be present. As far as we are getting close to ultimate CMOS and ulterior new emerging nano-devices technologies the indication made by J. von Neumann in 1950 that errors had to be viewed not as an extraneous accident but as an essential part of the process under consideration caused by natural phenomena is becoming a real fact. It is well accepted that at the same time electronic technology is going into the deep nanoscale the device reliability decreases rapidly. For such future technologies internal electromagnetic coupling or just thermal noise as well as permanent manufacturing defects will cause a loss of reliability and introduce an inherent error probabilistic factor to every component of the system. These deviations motivate new design paradigms. Many of these deviations will be transient in nature, at the same time current computer architecture approaches are reaching their practical limits. In order to build reliable electronics it will be necessary to include fault and defect tolerant schemes through the introduction of massive redundancy. Within this change of scenario, in comparison to conventional deterministic logic circuits these emerging technologies have to face new design and test strategies in order to give support to this probabilistic behavior logic.
该演讲将重新考虑测试在新兴的CMOS万亿级器件电路中的作用,以及将存在高冗余的其他技术。当我们越来越接近最终的CMOS和新兴的纳米器件技术时,冯·诺伊曼(J. von Neumann)在1950年提出的错误不应被视为无关的事故,而应被视为由自然现象引起的过程的重要组成部分,这一观点正在成为一个现实。人们普遍认为,在电子技术向纳米深度发展的同时,器件的可靠性迅速下降。对于这种未来技术,内部电磁耦合或热噪声以及永久性制造缺陷将导致可靠性损失,并为系统的每个组件引入固有的误差概率因素。这些偏差激发了新的设计范式。许多这些偏差在本质上是暂时的,同时,当前的计算机体系结构方法正在达到其实际极限。为了构建可靠的电子设备,有必要通过引入大量冗余来包含故障和容错方案。在这种情况下,与传统的确定性逻辑电路相比,这些新兴技术必须面对新的设计和测试策略,以支持这种概率行为逻辑。
{"title":"The Role of Test in Circuits Built with Unreliable Components","authors":"A. Rubio","doi":"10.1109/ETS.2008.36","DOIUrl":"https://doi.org/10.1109/ETS.2008.36","url":null,"abstract":"The talk will reconsider the role of the test in new emerging device circuits for CMOS Terascale and further technologies where a high level of redundancy will be present. As far as we are getting close to ultimate CMOS and ulterior new emerging nano-devices technologies the indication made by J. von Neumann in 1950 that errors had to be viewed not as an extraneous accident but as an essential part of the process under consideration caused by natural phenomena is becoming a real fact. It is well accepted that at the same time electronic technology is going into the deep nanoscale the device reliability decreases rapidly. For such future technologies internal electromagnetic coupling or just thermal noise as well as permanent manufacturing defects will cause a loss of reliability and introduce an inherent error probabilistic factor to every component of the system. These deviations motivate new design paradigms. Many of these deviations will be transient in nature, at the same time current computer architecture approaches are reaching their practical limits. In order to build reliable electronics it will be necessary to include fault and defect tolerant schemes through the introduction of massive redundancy. Within this change of scenario, in comparison to conventional deterministic logic circuits these emerging technologies have to face new design and test strategies in order to give support to this probabilistic behavior logic.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"131 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114522069","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Bandwidth Analysis for Reusing Functional Interconnect as Test Access Mechanism 重用功能互连作为测试接入机制的带宽分析
Pub Date : 2008-05-25 DOI: 10.1109/ETS.2008.34
Ardy van den Berg, P. Ren, E. Marinissen, G. Gaydadjiev, K. Goossens
Test data travels through a System-on-Chip (SOC) from the chip pins to the module-under-test and vice versa via a Test Access Mechanism (TAM). Conventionally, a TAM is implemented with dedicated wires. However, also existing functional interconnect, such as a bus or Network-on-Chip (NOC), can be reused as TAM. This will reduce the overall design effort and the silicon area. For a given module, its test set, and maximal bandwidth that the functional interconnect can offer between ATE and module-under-test, our approach designs a test wrapper for the module-under-test such that the test length is minimized. Unfortunately, it is unavoidable that with the test data also unused (idle) bits are transported. This paper presents a TAM bandwidth utilization analysis and techniques for idle bits reduction, to minimize the test length. We classify the idle bits into four types which explain the reason for bandwidth under-utilization and pinpoint design improvement opportunities. Experimental results show an average bandwidth utilization of 80%, while the remaining 20% is consumed by the idle bits.
测试数据通过片上系统(SOC)从芯片引脚传输到被测模块,反之亦然,通过测试访问机制(TAM)。通常,TAM是用专用线路实现的。但是,现有的功能性互连,如总线或片上网络(NOC),也可以作为TAM重用。这将减少整体设计的工作量和硅的面积。对于一个给定的模块,它的测试集,以及功能互连在ATE和被测模块之间可以提供的最大带宽,我们的方法为被测模块设计了一个测试包装器,这样测试长度就最小化了。不幸的是,不可避免的是,测试数据也会传输未使用(空闲)的位。本文介绍了TAM带宽利用率分析和减少空闲比特的技术,以最大限度地减少测试长度。我们将空闲比特分为四种类型,它们解释了带宽利用率不足的原因,并指出了设计改进的机会。实验结果表明,平均带宽利用率为80%,其余20%被空闲比特消耗。
{"title":"Bandwidth Analysis for Reusing Functional Interconnect as Test Access Mechanism","authors":"Ardy van den Berg, P. Ren, E. Marinissen, G. Gaydadjiev, K. Goossens","doi":"10.1109/ETS.2008.34","DOIUrl":"https://doi.org/10.1109/ETS.2008.34","url":null,"abstract":"Test data travels through a System-on-Chip (SOC) from the chip pins to the module-under-test and vice versa via a Test Access Mechanism (TAM). Conventionally, a TAM is implemented with dedicated wires. However, also existing functional interconnect, such as a bus or Network-on-Chip (NOC), can be reused as TAM. This will reduce the overall design effort and the silicon area. For a given module, its test set, and maximal bandwidth that the functional interconnect can offer between ATE and module-under-test, our approach designs a test wrapper for the module-under-test such that the test length is minimized. Unfortunately, it is unavoidable that with the test data also unused (idle) bits are transported. This paper presents a TAM bandwidth utilization analysis and techniques for idle bits reduction, to minimize the test length. We classify the idle bits into four types which explain the reason for bandwidth under-utilization and pinpoint design improvement opportunities. Experimental results show an average bandwidth utilization of 80%, while the remaining 20% is consumed by the idle bits.","PeriodicalId":334529,"journal":{"name":"2008 13th European Test Symposium","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-05-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114670264","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
期刊
2008 13th European Test Symposium
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1