首页 > 最新文献

2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)最新文献

英文 中文
Analysis of a heterogeneous multi-core, multi-hw-accelerator-based system designed using PREESM and SDSoC 基于PREESM和SDSoC设计的异构多核多hw加速器系统分析
Leonardo Suriano, Alfonso Rodríguez, K. Desnos, M. Pelcat, E. D. L. Torre
Nowadays, new heterogeneous system technologies are flooding the market: through the past years, it is possible to observe the move from single CPUs to multi-core devices featuring CPUs, GPUs and large FPGAs, such as Xilinx Zynq-7000 or Zynq UltraScale+ MPSoC architectures. In this context, providing developers with transparent deployment capabilities to efficiently execute different applications on such complex devices is important. In this paper, a design flow that combines, on one side, PREESM, a dataflow-based prototyping framework and, on the other side, Xilinx SDSoC, an HLS-based framework to automatically generate and manage hardware accelerators, is presented. This integration leverages the automatic, static task scheduling obtained from PREESM with asynchronous invocations that trigger the parallel execution of multiple hardware accelerators from some of their associated sequential software threads. An image processing application is used as a proof of concept, showing the interoperability possibilities of both tools, the level of design automation achieved and, for the resulting computing architecture, the good performance scalability according to the number of accelerators and sw threads.
如今,新的异构系统技术正在充斥市场:通过过去几年,可以观察到从单cpu到多核设备的转变,这些设备具有cpu, gpu和大型fpga,例如Xilinx Zynq-7000或Zynq UltraScale+ MPSoC架构。在这种情况下,为开发人员提供透明的部署功能,以便在如此复杂的设备上有效地执行不同的应用程序是很重要的。本文提出了一种设计流程,该流程结合了基于数据流的原型框架PREESM和基于hls的硬件加速器自动生成和管理框架Xilinx SDSoC。这种集成利用了从PREESM获得的自动、静态任务调度和异步调用,这些调用触发多个硬件加速器从它们的一些关联的顺序软件线程并行执行。一个图像处理应用程序被用作概念验证,展示了两个工具的互操作性可能性,实现的设计自动化水平,以及根据加速器和软件线程的数量产生的计算体系结构的良好性能可扩展性。
{"title":"Analysis of a heterogeneous multi-core, multi-hw-accelerator-based system designed using PREESM and SDSoC","authors":"Leonardo Suriano, Alfonso Rodríguez, K. Desnos, M. Pelcat, E. D. L. Torre","doi":"10.1109/ReCoSoC.2017.8016151","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016151","url":null,"abstract":"Nowadays, new heterogeneous system technologies are flooding the market: through the past years, it is possible to observe the move from single CPUs to multi-core devices featuring CPUs, GPUs and large FPGAs, such as Xilinx Zynq-7000 or Zynq UltraScale+ MPSoC architectures. In this context, providing developers with transparent deployment capabilities to efficiently execute different applications on such complex devices is important. In this paper, a design flow that combines, on one side, PREESM, a dataflow-based prototyping framework and, on the other side, Xilinx SDSoC, an HLS-based framework to automatically generate and manage hardware accelerators, is presented. This integration leverages the automatic, static task scheduling obtained from PREESM with asynchronous invocations that trigger the parallel execution of multiple hardware accelerators from some of their associated sequential software threads. An image processing application is used as a proof of concept, showing the interoperability possibilities of both tools, the level of design automation achieved and, for the resulting computing architecture, the good performance scalability according to the number of accelerators and sw threads.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128326853","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
High-level design using Intel FPGA OpenCL: A hyperspectral imaging spatial-spectral classifier 基于Intel FPGA OpenCL的高级设计:一个高光谱成像空间光谱分类器
R. Domingo, R. Salvador, H. Fabelo, D. Madroñal, S. Ortega, R. Lazcano, E. Juárez, G. Callicó, C. Sanz
Current computational demands require increasing designer's efficiency and system performance per watt. A broadly accepted solution for efficient accelerators implementation is reconfigurable computing. However, typical HDL methodologies require very specific skills and a considerable amount of designer's time. Despite the new approaches to high-level synthesis like OpenCL, given the large heterogeneity in today's devices (manycore, CPUs, GPUs, FPGAs), there is no one-fits-all solution, so to maximize performance, platform-driven optimization is needed. This paper reviews some latest works using Intel FPGA SDK for OpenCL and the strategies for optimization, evaluating the framework for the design of a hyperspectral image spatial-spectral classifier accelerator. Results are reported for a Cyclone V SoC using Intel FPGA OpenCL Offline Compiler 16.0 out-of-the-box. From a common baseline C implementation running on the embedded ARM® Cortex®-A9, OpenCL-based synthesis is evaluated applying different generic and vendor specific optimizations. Results show how reasonable speedups are obtained in a device with scarce computing and embedded memory resources. It seems a great step has been given to effectively raise the abstraction level, but still, a considerable amount of HW design skills is needed.
当前的计算需求要求提高设计人员的效率和每瓦特的系统性能。一个被广泛接受的高效加速器实现方案是可重构计算。然而,典型的HDL方法需要非常特殊的技能和大量的设计时间。尽管有像OpenCL这样的高级综合的新方法,但考虑到当今设备(多核、cpu、gpu、fpga)的巨大异构性,没有放之万用的解决方案,因此为了最大化性能,需要平台驱动的优化。本文综述了利用Intel FPGA SDK实现OpenCL的最新研究成果及其优化策略,对高光谱图像空间光谱分类器加速器的设计框架进行了评价。报告了使用Intel FPGA OpenCL离线编译器16.0开箱即用的Cyclone V SoC的结果。从运行在嵌入式ARM®Cortex®-A9上的通用基线C实现开始,基于opencl的合成应用不同的通用和特定于供应商的优化进行评估。结果表明如何在计算资源和嵌入式内存资源稀缺的设备上获得合理的加速。似乎已经迈出了一大步,有效地提高了抽象层次,但仍然需要相当数量的硬件设计技能。
{"title":"High-level design using Intel FPGA OpenCL: A hyperspectral imaging spatial-spectral classifier","authors":"R. Domingo, R. Salvador, H. Fabelo, D. Madroñal, S. Ortega, R. Lazcano, E. Juárez, G. Callicó, C. Sanz","doi":"10.1109/ReCoSoC.2017.8016152","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016152","url":null,"abstract":"Current computational demands require increasing designer's efficiency and system performance per watt. A broadly accepted solution for efficient accelerators implementation is reconfigurable computing. However, typical HDL methodologies require very specific skills and a considerable amount of designer's time. Despite the new approaches to high-level synthesis like OpenCL, given the large heterogeneity in today's devices (manycore, CPUs, GPUs, FPGAs), there is no one-fits-all solution, so to maximize performance, platform-driven optimization is needed. This paper reviews some latest works using Intel FPGA SDK for OpenCL and the strategies for optimization, evaluating the framework for the design of a hyperspectral image spatial-spectral classifier accelerator. Results are reported for a Cyclone V SoC using Intel FPGA OpenCL Offline Compiler 16.0 out-of-the-box. From a common baseline C implementation running on the embedded ARM® Cortex®-A9, OpenCL-based synthesis is evaluated applying different generic and vendor specific optimizations. Results show how reasonable speedups are obtained in a device with scarce computing and embedded memory resources. It seems a great step has been given to effectively raise the abstraction level, but still, a considerable amount of HW design skills is needed.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117217326","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Exploring the performance of partially reconfigurable point-to-point interconnects 探索部分可重构点对点互连的性能
E. Abdali, M. Pelcat, F. Berry, J. Diguet, F. Palumbo
An ever larger share of FPGAs are supporting Dynamic and Partial Reconfiguration (DPR). A reconfigurable point-to-point interconnect (ρ-P2P) is a communication mechanism based on DPR that swaps between different precomputed configurations stored in partial bitstreams. ρ-Point-to-Point (P2P) is intended as a lightweight interconnect that suits the reconfigurable systems where a limited number of configurations are desirable. This paper assesses the pros and cons of ρ-P2P in terms of resource and performance depending on the number of input/output signals, their width and the number of supported configurations. Experimental results, conducted on an Intel Cyclone V FPGA, compare ρ-P2P to an equivalently functional non-DPR solution called μ-P2P and to a full crossbar. They show that ρ-P2P is indeed lightweight but introduces performance limitations on operating frequency, memory footprint and reconfiguration time. However, ρ-P2P is in general the least resource intensive of the tested interconnects, except in the trivial case of low numbers of signals and configurations. In particular, an 18 × 18 full crossbar interconnect requires 75% more resources than an equivalent ρ-P2P. Interestingly, this resource difference between ρ-P2P and a full crossbar grows linearly with the interconnect size.
越来越多的fpga支持动态和部分重构(DPR)。可重构点对点互连(ρ-P2P)是一种基于DPR的通信机制,它在存储在部分比特流中的不同预计算配置之间进行交换。ρ-点对点(P2P)是一种轻量级互连,适用于需要有限数量配置的可重构系统。本文根据输入/输出信号的数量、宽度和支持的配置数量,评估了ρ-P2P在资源和性能方面的利弊。在英特尔Cyclone V FPGA上进行的实验结果将ρ-P2P与称为μ-P2P的同等功能的非dpr解决方案和全横杆进行了比较。他们表明,ρ-P2P确实是轻量级的,但在操作频率、内存占用和重新配置时间方面引入了性能限制。然而,除了信号和配置数量较少的情况外,在测试的互连中,ρ-P2P通常是资源密集程度最低的。特别是,一个18 × 18的全交叉互连需要比等效的ρ-P2P多75%的资源。有趣的是,ρ-P2P与全交叉条之间的资源差异随着互连大小线性增长。
{"title":"Exploring the performance of partially reconfigurable point-to-point interconnects","authors":"E. Abdali, M. Pelcat, F. Berry, J. Diguet, F. Palumbo","doi":"10.1109/ReCoSoC.2017.8016160","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016160","url":null,"abstract":"An ever larger share of FPGAs are supporting Dynamic and Partial Reconfiguration (DPR). A reconfigurable point-to-point interconnect (ρ-P2P) is a communication mechanism based on DPR that swaps between different precomputed configurations stored in partial bitstreams. ρ-Point-to-Point (P2P) is intended as a lightweight interconnect that suits the reconfigurable systems where a limited number of configurations are desirable. This paper assesses the pros and cons of ρ-P2P in terms of resource and performance depending on the number of input/output signals, their width and the number of supported configurations. Experimental results, conducted on an Intel Cyclone V FPGA, compare ρ-P2P to an equivalently functional non-DPR solution called μ-P2P and to a full crossbar. They show that ρ-P2P is indeed lightweight but introduces performance limitations on operating frequency, memory footprint and reconfiguration time. However, ρ-P2P is in general the least resource intensive of the tested interconnects, except in the trivial case of low numbers of signals and configurations. In particular, an 18 × 18 full crossbar interconnect requires 75% more resources than an equivalent ρ-P2P. Interestingly, this resource difference between ρ-P2P and a full crossbar grows linearly with the interconnect size.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126270208","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Design method for asymmetric 3D interconnect architectures with high level models 具有高级模型的非对称三维互连结构设计方法
J. Joseph, Lennart Bamberg, Sven Wrieden, Dominik Ermel, A. Ortiz, Thilo Pionteck
New 3D production methods enable heterogeneous integration of dies manufactured in different technology nodes. Asymmetric 3D interconnect architectures (A-3D-IAs) are the communication infrastructure targeting these heterogeneous 3D system on chips (3D SoCs), for which design methodologies and design tools are still missing. Here, a design method is proposed following an incremental approach enabled by high level models. Therefore, we present the first simulator and design framework covering the diverse requirements of A-3D-IAs. This includes an abstract model to estimate the application specific energy consumption of 2D metal wires and 3D through silicon vias (TSVs) in an A-3D-IA. It is validated by circuit simulations in combination with an electromagnetic field solver which is used for the extraction of the TSV array equivalent circuit. The model lays on a high abstraction level for fast simulations. Nonetheless, for real data stream scenarios it still shows a small maximum error of less than 8%. Additionally, a mathematical description is presented which enables a fast evaluation of low power coding schemes for A-3D-IA on a high level of abstraction.
新的3D生产方法使不同技术节点制造的模具能够异构集成。非对称3D互连架构(A-3D-IAs)是针对这些异构3D芯片系统(3D soc)的通信基础设施,其设计方法和设计工具仍然缺失。在这里,提出了一种设计方法,该方法遵循由高级模型支持的增量方法。因此,我们提出了第一个模拟器和设计框架,涵盖了A-3D-IAs的各种要求。这包括一个抽象模型,用于估计A-3D-IA中2D金属线和3D硅通孔(tsv)的应用特定能耗。结合电磁场求解器对TSV阵列等效电路的提取进行了电路仿真验证。该模型具有较高的抽象层次,可实现快速仿真。尽管如此,对于真实的数据流场景,它仍然显示出小于8%的最大误差。此外,还提出了一种数学描述,可以在高抽象水平上快速评估a - 3d - ia的低功耗编码方案。
{"title":"Design method for asymmetric 3D interconnect architectures with high level models","authors":"J. Joseph, Lennart Bamberg, Sven Wrieden, Dominik Ermel, A. Ortiz, Thilo Pionteck","doi":"10.1109/ReCoSoC.2017.8016143","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016143","url":null,"abstract":"New 3D production methods enable heterogeneous integration of dies manufactured in different technology nodes. Asymmetric 3D interconnect architectures (A-3D-IAs) are the communication infrastructure targeting these heterogeneous 3D system on chips (3D SoCs), for which design methodologies and design tools are still missing. Here, a design method is proposed following an incremental approach enabled by high level models. Therefore, we present the first simulator and design framework covering the diverse requirements of A-3D-IAs. This includes an abstract model to estimate the application specific energy consumption of 2D metal wires and 3D through silicon vias (TSVs) in an A-3D-IA. It is validated by circuit simulations in combination with an electromagnetic field solver which is used for the extraction of the TSV array equivalent circuit. The model lays on a high abstraction level for fast simulations. Nonetheless, for real data stream scenarios it still shows a small maximum error of less than 8%. Additionally, a mathematical description is presented which enables a fast evaluation of low power coding schemes for A-3D-IA on a high level of abstraction.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124793972","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
SecBoot — lightweight secure boot mechanism for Linux-based embedded systems on FPGAs SecBoot -轻量级安全引导机制,用于基于linux的嵌入式系统在fpga上
Peter Rouget, Benoît Badrignans, P. Benoit, L. Torres
In recent years, the need in security for embedded devices and data centers has increased sharply. The possible consequences of attacks on these equipments make them privileged targets. In these fields, FPGA are increasingly used because of their flexibility and constantly decreasing power consumption and cost: they can embed several hard/soft processors running Linux enhancing system integration. This paper discusses the security issues related to operating system boot security on FPGAs. We show how the software early boot stages can be protected using FPGA built-in security mechanisms and user logic. We consider that external memories can be tampered by software attacks or board level attacks. By using open source elements and standard tools, we present and implement a lightweight solution. We show that the dynamic reconfiguration has nearly no impact on usable resources of the FPGA matrix at the end of the boot process.
近年来,嵌入式设备和数据中心的安全需求急剧增加。攻击这些设备的可能后果使它们成为特权目标。在这些领域中,FPGA由于其灵活性和不断降低的功耗和成本而越来越多地使用:它们可以嵌入几个运行Linux的硬/软处理器,增强系统集成度。本文讨论了fpga上与操作系统启动安全相关的安全问题。我们展示了如何使用FPGA内置的安全机制和用户逻辑来保护软件的早期启动阶段。我们认为外部存储器可以被软件攻击或板级攻击篡改。通过使用开源元素和标准工具,我们呈现并实现了一个轻量级解决方案。我们表明,在启动过程结束时,动态重新配置对FPGA矩阵的可用资源几乎没有影响。
{"title":"SecBoot — lightweight secure boot mechanism for Linux-based embedded systems on FPGAs","authors":"Peter Rouget, Benoît Badrignans, P. Benoit, L. Torres","doi":"10.1109/ReCoSoC.2017.8016144","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016144","url":null,"abstract":"In recent years, the need in security for embedded devices and data centers has increased sharply. The possible consequences of attacks on these equipments make them privileged targets. In these fields, FPGA are increasingly used because of their flexibility and constantly decreasing power consumption and cost: they can embed several hard/soft processors running Linux enhancing system integration. This paper discusses the security issues related to operating system boot security on FPGAs. We show how the software early boot stages can be protected using FPGA built-in security mechanisms and user logic. We consider that external memories can be tampered by software attacks or board level attacks. By using open source elements and standard tools, we present and implement a lightweight solution. We show that the dynamic reconfiguration has nearly no impact on usable resources of the FPGA matrix at the end of the boot process.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129857515","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Energy aware and reliable STT-RAM based cache design for 3D embedded chip-multiprocessors 基于STT-RAM的3D嵌入式芯片多处理器缓存设计
Fatemeh Arezoomand, Arghavan Asad, M. Fazeli, M. Fathy, F. Mohammadi
In Nano-scale technologies, static power consumption due to leakage current has become a serious issue in the design of SRAM based on-chip cache memories. To address this issue, non-volatile memory technologies such as STT-RAM (Spin Transfer Torque-RAM) have been proposed as a replacement for SRAM cells due to their near zero static power consumption and high memory density. Nonetheless, STT-RAMs suffer from some failures such as read disturb and limited endurance as well as high switching energy. One effective way to decrease the STT-RAMs' switching energy is to reduce their retention time, however, reducing the retention time has a negative impact on the reliability of STT-RAM cells. In this paper, we propose a hybrid cache layer for an embedded 3D-Chip Multiprocessor which employs two types of STT-RAM memory banks with retention time of 1s and 10ms to provide a beneficial tradeoff between reliability, energy consumption, and performance. To this end, we also propose an optimization model to find the optimal configurations for these two kinds of memory banks. Simulation results using the Gem5 simulator through comparisons with fully SRAM and fully STT-RAM based cache show that the proposed hybrid cache consumes significantly less power while offering higher throughput (instructions per cycle) compared to a fully STT-RAM based cache.
在纳米技术中,泄漏电流引起的静态功耗已成为基于片上高速缓存的SRAM设计中的一个严重问题。为了解决这个问题,人们提出了非易失性存储技术,如STT-RAM(自旋传输扭矩- ram),作为SRAM单元的替代品,因为它们几乎为零的静态功耗和高存储密度。然而,stt - ram存在一些故障,如读干扰和有限的寿命,以及高开关能量。降低STT-RAM开关能量的有效方法之一是减少其保留时间,但减少保留时间会对STT-RAM电池的可靠性产生负面影响。在本文中,我们提出了一种用于嵌入式3d芯片多处理器的混合缓存层,该处理器采用两种类型的STT-RAM存储器,保留时间分别为1s和10ms,以在可靠性,能耗和性能之间提供有益的权衡。为此,我们还提出了一个优化模型来寻找这两种存储库的最优配置。通过与完全基于SRAM和完全基于STT-RAM的缓存进行比较,使用Gem5模拟器的仿真结果表明,与完全基于STT-RAM的缓存相比,所提出的混合缓存消耗的功率显着降低,同时提供更高的吞吐量(每周期指令)。
{"title":"Energy aware and reliable STT-RAM based cache design for 3D embedded chip-multiprocessors","authors":"Fatemeh Arezoomand, Arghavan Asad, M. Fazeli, M. Fathy, F. Mohammadi","doi":"10.1109/ReCoSoC.2017.8016154","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016154","url":null,"abstract":"In Nano-scale technologies, static power consumption due to leakage current has become a serious issue in the design of SRAM based on-chip cache memories. To address this issue, non-volatile memory technologies such as STT-RAM (Spin Transfer Torque-RAM) have been proposed as a replacement for SRAM cells due to their near zero static power consumption and high memory density. Nonetheless, STT-RAMs suffer from some failures such as read disturb and limited endurance as well as high switching energy. One effective way to decrease the STT-RAMs' switching energy is to reduce their retention time, however, reducing the retention time has a negative impact on the reliability of STT-RAM cells. In this paper, we propose a hybrid cache layer for an embedded 3D-Chip Multiprocessor which employs two types of STT-RAM memory banks with retention time of 1s and 10ms to provide a beneficial tradeoff between reliability, energy consumption, and performance. To this end, we also propose an optimization model to find the optimal configurations for these two kinds of memory banks. Simulation results using the Gem5 simulator through comparisons with fully SRAM and fully STT-RAM based cache show that the proposed hybrid cache consumes significantly less power while offering higher throughput (instructions per cycle) compared to a fully STT-RAM based cache.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131703462","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Federated system-to-service authentication and authorization combining PUFs and tokens 结合puf和令牌的联邦系统到服务身份验证和授权
Marta Beltrán, Miguel Calvo, Sergio Gonzalez
Different application domains are challenging the still immature access control mechanisms currently used to authenticate and to authorize system-on-chip architectures to services deployed locally or in the cloud. These domains include Internet of Things, Smart Places or Industry 4.0 where different kinds of devices and objects, often poorly physically protected, low-cost and energy-constrained, interact with different kinds of services through lightweight communication protocols. These protocols usually guarantee basic data confidentiality and integrity, securing communication channels using cryptography, but there are still important challenges related to authentication and authorization. This work proposes a new system-to-service authentication and authorization mechanism based on the combination of a Physical Unclonable Function (PUF) and two tokens (one devoted to authentication and the other devoted to authorization), capable of working over HTTP or COAP relying on federated schemes and adapted to the specific requirements of this kind of environments. The new mechanism is validated and its efficiency and security are evaluated using a real healthcare case study.
不同的应用领域正在挑战尚不成熟的访问控制机制,这些机制目前用于对部署在本地或云中服务的片上系统架构进行身份验证和授权。这些领域包括物联网、智能场所或工业4.0,在这些领域中,不同类型的设备和对象通常物理保护不佳、成本低且能源有限,通过轻量级通信协议与不同类型的服务进行交互。这些协议通常保证基本的数据机密性和完整性,使用加密保护通信通道,但是仍然存在与身份验证和授权相关的重要挑战。这项工作提出了一种新的系统到服务的身份验证和授权机制,该机制基于物理不可克隆功能(PUF)和两个令牌(一个用于身份验证,另一个用于授权)的组合,能够在依赖于联邦方案的HTTP或COAP上工作,并适应这种环境的特定需求。通过一个真实的医疗案例研究,对新机制进行了验证,并评估了其效率和安全性。
{"title":"Federated system-to-service authentication and authorization combining PUFs and tokens","authors":"Marta Beltrán, Miguel Calvo, Sergio Gonzalez","doi":"10.1109/ReCoSoC.2017.8016157","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016157","url":null,"abstract":"Different application domains are challenging the still immature access control mechanisms currently used to authenticate and to authorize system-on-chip architectures to services deployed locally or in the cloud. These domains include Internet of Things, Smart Places or Industry 4.0 where different kinds of devices and objects, often poorly physically protected, low-cost and energy-constrained, interact with different kinds of services through lightweight communication protocols. These protocols usually guarantee basic data confidentiality and integrity, securing communication channels using cryptography, but there are still important challenges related to authentication and authorization. This work proposes a new system-to-service authentication and authorization mechanism based on the combination of a Physical Unclonable Function (PUF) and two tokens (one devoted to authentication and the other devoted to authorization), capable of working over HTTP or COAP relying on federated schemes and adapted to the specific requirements of this kind of environments. The new mechanism is validated and its efficiency and security are evaluated using a real healthcare case study.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123146765","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
System-level design for communication-centric task farm applications 以通信为中心的任务场应用程序的系统级设计
Daniela Genius, L. Apvrille
Massively parallel applications such as telecommunication and video streaming have the particularity that a large proportion of the time is spent on accessing communication channels between the tasks, due to contention on the on-chip interconnect. Moreover, the analysis of a given task deployment is often fastidious. Thus, we propose to extend an existing easy-to-use System-level Design methodology to task farm applications. The contribution first concerns adding relevant SysML modeling elements to take into account application code, hardware platforms and deployment constraints. Secondly, new modeling elements — including access techniques to communication channels — must be given a semantics in order to transform models into a well-defined SystemC virtual prototyping MPSoC platform. A telecommunication application serves as an example.
大规模并行应用(如电信和视频流)的特殊性是,由于片上互连的争用,很大一部分时间花费在访问任务之间的通信通道上。此外,对给定任务部署的分析通常是苛刻的。因此,我们建议将现有的易于使用的系统级设计方法扩展到任务场应用程序。该贡献首先涉及添加相关的SysML建模元素,以考虑应用程序代码、硬件平台和部署约束。其次,为了将模型转换为定义良好的SystemC虚拟原型MPSoC平台,必须为新的建模元素(包括通信通道的访问技术)提供语义。以电信应用程序为例。
{"title":"System-level design for communication-centric task farm applications","authors":"Daniela Genius, L. Apvrille","doi":"10.1109/ReCoSoC.2017.8016145","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016145","url":null,"abstract":"Massively parallel applications such as telecommunication and video streaming have the particularity that a large proportion of the time is spent on accessing communication channels between the tasks, due to contention on the on-chip interconnect. Moreover, the analysis of a given task deployment is often fastidious. Thus, we propose to extend an existing easy-to-use System-level Design methodology to task farm applications. The contribution first concerns adding relevant SysML modeling elements to take into account application code, hardware platforms and deployment constraints. Secondly, new modeling elements — including access techniques to communication channels — must be given a semantics in order to transform models into a well-defined SystemC virtual prototyping MPSoC platform. A telecommunication application serves as an example.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128161926","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Fault recovery and adaptation in time-triggered Networks-on-Chips for mixed-criticality systems 混合临界系统的时间触发片上网络故障恢复与自适应
Hamidreza Ahmadian, Farzad Nekouei, R. Obermaisser
Adaptivity in terms of fault recovery and energy efficiency alongside with mixed-criticality support are demanded in today's embedded systems. Safety-critical systems are desired to switch between precomputed resource allocations at runtime based on the monitored information from the platform. In addition, those systems are desired to adjust their internal behavior with regard to a change in the environment, while operating at a desired safety level. At the same time, resource requests in such systems can be highly dynamic and data dependent. Aiming at meeting a superset of all worst case demands leads to unaffordable overheads in terms of resource utilization. Hence, efficient resource management mechanisms are required to provide fault recovery and to make the system adaptive to the changes in the environmental or the resource requests, while keeping the system at a safe state. This paper introduces a solution for supporting resource management in networks-on-chips that fulfills the requirements of adaptive mixed-criticality systems and proposes an architecture that establishes fault recovery by switching between precomputed resource allocations based on the statistical and diagnostic information.
当今的嵌入式系统需要故障恢复和能源效率方面的适应性以及混合临界支持。安全关键型系统需要在运行时根据来自平台的监控信息在预先计算的资源分配之间进行切换。此外,希望这些系统能够根据环境的变化调整其内部行为,同时在期望的安全水平上运行。同时,这些系统中的资源请求可能是高度动态和数据依赖的。以满足所有最坏情况需求的超集为目标,在资源利用方面会导致负担不起的开销。因此,需要有效的资源管理机制来提供故障恢复,并使系统适应环境或资源请求的变化,同时保持系统处于安全状态。本文介绍了一种满足自适应混合临界系统要求的支持片上网络资源管理的解决方案,并提出了一种基于统计和诊断信息在预先计算的资源分配之间切换来建立故障恢复的体系结构。
{"title":"Fault recovery and adaptation in time-triggered Networks-on-Chips for mixed-criticality systems","authors":"Hamidreza Ahmadian, Farzad Nekouei, R. Obermaisser","doi":"10.1109/ReCoSoC.2017.8016149","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016149","url":null,"abstract":"Adaptivity in terms of fault recovery and energy efficiency alongside with mixed-criticality support are demanded in today's embedded systems. Safety-critical systems are desired to switch between precomputed resource allocations at runtime based on the monitored information from the platform. In addition, those systems are desired to adjust their internal behavior with regard to a change in the environment, while operating at a desired safety level. At the same time, resource requests in such systems can be highly dynamic and data dependent. Aiming at meeting a superset of all worst case demands leads to unaffordable overheads in terms of resource utilization. Hence, efficient resource management mechanisms are required to provide fault recovery and to make the system adaptive to the changes in the environmental or the resource requests, while keeping the system at a safe state. This paper introduces a solution for supporting resource management in networks-on-chips that fulfills the requirements of adaptive mixed-criticality systems and proposes an architecture that establishes fault recovery by switching between precomputed resource allocations based on the statistical and diagnostic information.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125334089","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Current mode detection in hard real-time automotive applications dedicated to many-core platforms 当前模式检测在硬实时汽车应用专用于多核心平台
P. Dziurzański, T. Maka
This paper proposes a technique for determining the current mode in an electronic control unit (ECU) during run-time. We use a decision tree classifier which observes the latest execution times of processes (runnables). When a mode change is detected, the migration of runnables is performed to decrease the number of active cores leading to considerable energy savings while still not violating any of timing constraints. The proposed approach consists of both off-line and on-line steps, whereas more computational intensive steps are performed statically. In the presented automotive use case, the current mode is detected with 100% accuracy while observing execution time of a particular single runnable. The migration time of systems with dynamic mode detection based on the runnable execution time with various periods is also provided.
本文提出了一种确定电子控制单元(ECU)运行时电流模式的方法。我们使用决策树分类器来观察进程(可运行程序)的最新执行时间。当检测到模式更改时,执行可运行程序的迁移,以减少活动内核的数量,从而在不违反任何时间限制的情况下节省大量能源。该方法包括离线和在线两个步骤,而静态执行更多的计算密集型步骤。在给出的汽车用例中,通过观察特定单个可运行程序的执行时间,可以100%准确地检测当前模式。基于不同周期的可运行执行时间,给出了动态模式检测系统的迁移时间。
{"title":"Current mode detection in hard real-time automotive applications dedicated to many-core platforms","authors":"P. Dziurzański, T. Maka","doi":"10.1109/ReCoSoC.2017.8016162","DOIUrl":"https://doi.org/10.1109/ReCoSoC.2017.8016162","url":null,"abstract":"This paper proposes a technique for determining the current mode in an electronic control unit (ECU) during run-time. We use a decision tree classifier which observes the latest execution times of processes (runnables). When a mode change is detected, the migration of runnables is performed to decrease the number of active cores leading to considerable energy savings while still not violating any of timing constraints. The proposed approach consists of both off-line and on-line steps, whereas more computational intensive steps are performed statically. In the presented automotive use case, the current mode is detected with 100% accuracy while observing execution time of a particular single runnable. The migration time of systems with dynamic mode detection based on the runnable execution time with various periods is also provided.","PeriodicalId":393701,"journal":{"name":"2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2017-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122529582","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1