首页 > 最新文献

2010 IEEE Dallas Circuits and Systems Workshop最新文献

英文 中文
Structural verification of a WLAN system using Built-in Self Tests 使用内置自检对WLAN系统进行结构验证
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955035
D. Webster, J. Cavazos, D. Guy, P. Patchen, D. Lie
This paper describes Built-in Self Test (BiST) techniques used to verify the integrity of a RF CMOS WLAN transceiver by Texas Instruments. The set of BiSTs covers the primary blocks in the RF/analog portion of the radio, verifying the system to be free of defects in a high volume production setting with minimal tester resources. This approach promotes a highly parallel testing opportunity, resulting in reduced test time with lower cost.
本文介绍了内置自检(BiST)技术,用于验证德州仪器射频CMOS WLAN收发器的完整性。这套bist涵盖了无线电射频/模拟部分的主要模块,以最少的测试资源验证系统在大批量生产环境中没有缺陷。这种方法促进了高度并行的测试机会,从而以更低的成本减少了测试时间。
{"title":"Structural verification of a WLAN system using Built-in Self Tests","authors":"D. Webster, J. Cavazos, D. Guy, P. Patchen, D. Lie","doi":"10.1109/DCAS.2010.5955035","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955035","url":null,"abstract":"This paper describes Built-in Self Test (BiST) techniques used to verify the integrity of a RF CMOS WLAN transceiver by Texas Instruments. The set of BiSTs covers the primary blocks in the RF/analog portion of the radio, verifying the system to be free of defects in a high volume production setting with minimal tester resources. This approach promotes a highly parallel testing opportunity, resulting in reduced test time with lower cost.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"36 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132119822","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A reduced-cost Built-in Self Test for an FM receiver 调频接收机的低成本内置自检
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955036
D. Mannath, V. Montaño-Martinez, I. Syllaios, S. Bhatara, M. Attaluri, Z. Parkar, S. Ang
This paper describes the methodology used to replace a conventional FM SNR test on a 65nm Texas Instruments radio with a similar test implemented as a Built-in Self Test (BiST). A traditional R square approach was used for the correlation. Data from various changes that affected/improved the correlation is presented. This approach resulted in test cost savings of around 40%.
本文描述了用内置自测试(BiST)的类似测试取代65nm德州仪器无线电上的传统FM信噪比测试的方法。使用传统的R方方法进行相关性分析。本文给出了影响/改善相关性的各种变化的数据。这种方法节省了大约40%的测试成本。
{"title":"A reduced-cost Built-in Self Test for an FM receiver","authors":"D. Mannath, V. Montaño-Martinez, I. Syllaios, S. Bhatara, M. Attaluri, Z. Parkar, S. Ang","doi":"10.1109/DCAS.2010.5955036","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955036","url":null,"abstract":"This paper describes the methodology used to replace a conventional FM SNR test on a 65nm Texas Instruments radio with a similar test implemented as a Built-in Self Test (BiST). A traditional R square approach was used for the correlation. Data from various changes that affected/improved the correlation is presented. This approach resulted in test cost savings of around 40%.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"2016 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125725383","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 110μW single-bit continuous-time ΔΣ converter with 92.5dB dynamic range 110μW单比特连续时间ΔΣ转换器,动态范围92.5dB
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955028
S. Balagopal, Rajaram Mohan Roy, V. Saxena
A third-order single-bit CT-ΔΣ modulator for generic biomedical applications is implemented in a 0.15-μm FD-SOI CMOS process. The overall power efficiency is attained by employing a single-bit quantizer and thus avoiding the mismatch shaping logic. The loop filter coefficients are determined using a systematic design centering approach by accounting for the integrator non-idealities. The single-bit CT-ΔΣ modulator consumes 110μW power from a 1.5-V power supply when clocked at 6.144MHz. The simulation results for the modulator exhibit a dynamic range of 94.4 dB and peak SNDR of 92.4 dB for 6 kHz signal bandwidth. The figure of merit (FoM) of this third-order, single-bit CT-ΔΣ modulator is 0.271pJ/level.
一种用于通用生物医学应用的三阶单比特CT-ΔΣ调制器采用0.15 μm FD-SOI CMOS工艺实现。通过采用单比特量化器来实现整体功率效率,从而避免了失配整形逻辑。考虑积分器的非理想性,采用系统设计定心方法确定环路滤波器系数。当时钟频率为6.144MHz时,单比特CT-ΔΣ调制器从1.5 v电源消耗110μW功率。仿真结果表明,在6khz信号带宽下,调制器的动态范围为94.4 dB,峰值SNDR为92.4 dB。该三阶单比特CT-ΔΣ调制器的优值(FoM)为0.271pJ/电平。
{"title":"A 110μW single-bit continuous-time ΔΣ converter with 92.5dB dynamic range","authors":"S. Balagopal, Rajaram Mohan Roy, V. Saxena","doi":"10.1109/DCAS.2010.5955028","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955028","url":null,"abstract":"A third-order single-bit CT-ΔΣ modulator for generic biomedical applications is implemented in a 0.15-μm FD-SOI CMOS process. The overall power efficiency is attained by employing a single-bit quantizer and thus avoiding the mismatch shaping logic. The loop filter coefficients are determined using a systematic design centering approach by accounting for the integrator non-idealities. The single-bit CT-ΔΣ modulator consumes 110μW power from a 1.5-V power supply when clocked at 6.144MHz. The simulation results for the modulator exhibit a dynamic range of 94.4 dB and peak SNDR of 92.4 dB for 6 kHz signal bandwidth. The figure of merit (FoM) of this third-order, single-bit CT-ΔΣ modulator is 0.271pJ/level.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"30 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116449893","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A dual device load board with dual switched printed baluns 具有双开关印刷平衡的双设备负载板
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955041
C. Montiel, Parkash S. Arora
This paper describes the steps taken to convert a low-volume test solution into an efficient, low-cost, high-volume Automated Test Equipment (ATE) solution. At the beginning of the project, two different devices, sharing the same footprint, designed for broadband wireless access using the IEEE 802.16 d/e protocols at different bands, were production tested using a hand-loaded low-volume test solution. To increase production throughput and reduce cost, a high-volume ATE solution was proposed and implemented for both devices. In order to utilize the same load board and improve performance for each device, dual printed circuit board (PCB) baluns were designed, simulated, built, and characterized. The baluns were switched under software control depending on the type of device tested. Because the ATE load board was much more complex than the manual test board, we devised a simple method for de-embedding path loss when only one port was accessible. The solution greatly simplified production testing and increased test coverage and throughput.
本文描述了将小批量测试解决方案转换为高效、低成本、大批量自动化测试设备(ATE)解决方案所采取的步骤。在项目开始时,使用手动加载的小批量测试解决方案对两个不同的设备进行了生产测试,这两个设备共享相同的占地面积,设计用于使用不同频段的IEEE 802.16 d/e协议的宽带无线接入。为了提高生产吞吐量和降低成本,提出并实施了针对这两种器件的大批量ATE解决方案。为了利用相同的负载板并提高每个器件的性能,设计、模拟、制造和表征了双印刷电路板(PCB)平衡。根据测试设备的类型,在软件控制下切换平衡器。由于ATE负载板比手动测试板复杂得多,我们设计了一种简单的方法来消除只有一个端口可访问时的嵌入路径损失。该解决方案极大地简化了生产测试,并增加了测试覆盖率和吞吐量。
{"title":"A dual device load board with dual switched printed baluns","authors":"C. Montiel, Parkash S. Arora","doi":"10.1109/DCAS.2010.5955041","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955041","url":null,"abstract":"This paper describes the steps taken to convert a low-volume test solution into an efficient, low-cost, high-volume Automated Test Equipment (ATE) solution. At the beginning of the project, two different devices, sharing the same footprint, designed for broadband wireless access using the IEEE 802.16 d/e protocols at different bands, were production tested using a hand-loaded low-volume test solution. To increase production throughput and reduce cost, a high-volume ATE solution was proposed and implemented for both devices. In order to utilize the same load board and improve performance for each device, dual printed circuit board (PCB) baluns were designed, simulated, built, and characterized. The baluns were switched under software control depending on the type of device tested. Because the ATE load board was much more complex than the manual test board, we devised a simple method for de-embedding path loss when only one port was accessible. The solution greatly simplified production testing and increased test coverage and throughput.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116572028","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Optimizing gate reticle to silicon flow for variability in low power circuits 优化栅极线到硅流在低功耗电路中的可变性
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955032
A. Parikh, M. Kulkarni
Ultra-low-power circuits for applications such as biomedical implants and environmental monitoring are being designed to operate in the subthreshold regime. CMOS circuits in this regime are extremely susceptible to manufacturing process variations due to the exponential relationship of transistor sub-threshold drive current (Id) with threshold voltage (Vt) variation. In this paper, we explore the behavior of an inverter ring oscillator that was manufactured using 130nm process technology and operated at low supply voltage (Vdd). We then explore the effects of variations induced due to different aspects of the manufacturing process. Finally, we define the box of safe operation using an existing 130nm CMOS process and the required precision to achieve high yields by optimizing the gate reticle to silicon (Si) flow for the same.
目前正在设计用于生物医学植入物和环境监测等应用的超低功耗电路,以在阈下状态下运行。由于晶体管亚阈值驱动电流(Id)与阈值电压(Vt)的变化呈指数关系,这种状态下的CMOS电路极易受到制造工艺变化的影响。在本文中,我们探索了采用130nm工艺技术制造并在低电源电压(Vdd)下工作的逆变环振荡器的行为。然后,我们探讨了由于制造过程的不同方面引起的变化的影响。最后,我们使用现有的130纳米CMOS工艺定义了安全操作盒,并通过优化栅极线到硅(Si)流来实现高产量所需的精度。
{"title":"Optimizing gate reticle to silicon flow for variability in low power circuits","authors":"A. Parikh, M. Kulkarni","doi":"10.1109/DCAS.2010.5955032","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955032","url":null,"abstract":"Ultra-low-power circuits for applications such as biomedical implants and environmental monitoring are being designed to operate in the subthreshold regime. CMOS circuits in this regime are extremely susceptible to manufacturing process variations due to the exponential relationship of transistor sub-threshold drive current (Id) with threshold voltage (Vt) variation. In this paper, we explore the behavior of an inverter ring oscillator that was manufactured using 130nm process technology and operated at low supply voltage (Vdd). We then explore the effects of variations induced due to different aspects of the manufacturing process. Finally, we define the box of safe operation using an existing 130nm CMOS process and the required precision to achieve high yields by optimizing the gate reticle to silicon (Si) flow for the same.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"76 9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127395460","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Clock skew automation for power and area reduction in deep sub micron designs 时钟偏差自动化在深亚微米设计的功率和面积减少
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955040
Yasaswini Sudarsanam, A. Rajagopalan
The importance of the metrics of power vs. performance and area vs. performance can hardly be overstated in the context of timing closure on deep submicron designs. This paper describes how useful clock skew is handled post placement to fix timing without compromising the robustness of the clock tree. A novel method of automation is proposed where useful skew is calculated and applied across the design after evaluating the impact of skew introduction on multiple modes and corners, a limiting factor for most production tools. It is described further how the technique was deployed on a 45 nm multi-million gate imaging subsystem to improve power by 50% and area by as much as 90% in portions of the design. The paper concludes with a comparison of results from traditional setup and hold fixing vs. useful skew adjustment.
功率与性能、面积与性能指标的重要性在深亚微米设计的时序关闭的背景下很难被夸大。本文描述了如何在不影响时钟树的鲁棒性的情况下处理时钟倾斜以固定定时。提出了一种新的自动化方法,在评估了斜度引入对多种模式和拐角的影响后,计算出有用的斜度并在整个设计中应用,这是大多数生产工具的限制因素。进一步描述了如何将该技术部署在45纳米数百万栅极成像子系统上,从而在部分设计中将功率提高50%,面积提高90%。最后,对传统的设置和保持固定与有用的倾斜调整的结果进行了比较。
{"title":"Clock skew automation for power and area reduction in deep sub micron designs","authors":"Yasaswini Sudarsanam, A. Rajagopalan","doi":"10.1109/DCAS.2010.5955040","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955040","url":null,"abstract":"The importance of the metrics of power vs. performance and area vs. performance can hardly be overstated in the context of timing closure on deep submicron designs. This paper describes how useful clock skew is handled post placement to fix timing without compromising the robustness of the clock tree. A novel method of automation is proposed where useful skew is calculated and applied across the design after evaluating the impact of skew introduction on multiple modes and corners, a limiting factor for most production tools. It is described further how the technique was deployed on a 45 nm multi-million gate imaging subsystem to improve power by 50% and area by as much as 90% in portions of the design. The paper concludes with a comparison of results from traditional setup and hold fixing vs. useful skew adjustment.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"26 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128032794","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Design automation tools and libraries for low power digital design 为低功耗数字设计设计自动化工具和库
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955034
Mohammad Rahman, R. Afonso, Hiran Tennakoon, C. Sechen
Assuming arbitrary (continuous cell sizes) we have achieved global minimization of the total transistor sizes needed to achieve a delay goal, thus minimizing dynamic power (and reducing leakage power). We then developed a feasible branch-and-bound algorithm that maps the continuous sizes to the discrete sizes available in the standard cell library. Results show that a well-designed library gives results close to the optimal continuous size results. We developed a new approach to threshold voltage selection, among options available in a cell library. This algorithm is applied after optimal gate size selection, and raises threshold voltages as much as possible while strictly maintaining the delay goal. In addition, we identified the optimal set of (just 8) combinational functions in a physical cell library. These constitute the most power efficient cells needed to implement combinational logic. On the other hand, the synthesis library is much more complex, consisting of cells that are combinations of the physical library cells. This is advantageous since the constituent cells (of a more complex synthesis cell) are placed next to each other in the layout, ensuring minimal wire length between them. Since wire delay is a significant portion of total path delay for contemporary circuits, having complex cells in synthesis is important. But, for power efficiency, the physical cells must be rather simple, with no more than two transistors in series for any cell. The entire cell size and threshold voltage selection flow is efficient, with an ability to handle multi-million-gate commercial designs. After using state-of-the-art commercial synthesis, the application of our design automation tools and library results in a dynamic power reduction of 25–35% and leakage power reduction by 50–70% for large logic blocks.
假设任意(连续的电池尺寸),我们已经实现了实现延迟目标所需的总晶体管尺寸的全局最小化,从而最小化动态功率(并减少泄漏功率)。然后,我们开发了一种可行的分支定界算法,将连续大小映射到标准细胞库中可用的离散大小。结果表明,设计良好的库可以得到接近最优连续尺寸的结果。我们开发了一种新的阈值电压选择方法,在单元库中可用的选项中。该算法在优化栅极尺寸选择后,在严格保持延迟目标的前提下,尽可能提高阈值电压。此外,我们还确定了物理细胞库中的最佳组合函数集(仅8个)。这些构成了实现组合逻辑所需的最高效的电池。另一方面,合成库要复杂得多,它由物理库细胞组合而成的细胞组成。这是有利的,因为组成单元(更复杂的合成单元)在布局中彼此相邻放置,确保它们之间的导线长度最小。由于导线延迟是当代电路总路径延迟的重要组成部分,因此在合成中使用复杂的细胞是很重要的。但是,为了提高功率效率,物理电池必须相当简单,任何电池串联的晶体管不得超过两个。整个电池尺寸和阈值电压选择流程是有效的,具有处理数百万栅极商业设计的能力。在使用最先进的商业合成后,我们的设计自动化工具和库的应用使大型逻辑块的动态功率降低了25-35%,泄漏功率降低了50-70%。
{"title":"Design automation tools and libraries for low power digital design","authors":"Mohammad Rahman, R. Afonso, Hiran Tennakoon, C. Sechen","doi":"10.1109/DCAS.2010.5955034","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955034","url":null,"abstract":"Assuming arbitrary (continuous cell sizes) we have achieved global minimization of the total transistor sizes needed to achieve a delay goal, thus minimizing dynamic power (and reducing leakage power). We then developed a feasible branch-and-bound algorithm that maps the continuous sizes to the discrete sizes available in the standard cell library. Results show that a well-designed library gives results close to the optimal continuous size results. We developed a new approach to threshold voltage selection, among options available in a cell library. This algorithm is applied after optimal gate size selection, and raises threshold voltages as much as possible while strictly maintaining the delay goal. In addition, we identified the optimal set of (just 8) combinational functions in a physical cell library. These constitute the most power efficient cells needed to implement combinational logic. On the other hand, the synthesis library is much more complex, consisting of cells that are combinations of the physical library cells. This is advantageous since the constituent cells (of a more complex synthesis cell) are placed next to each other in the layout, ensuring minimal wire length between them. Since wire delay is a significant portion of total path delay for contemporary circuits, having complex cells in synthesis is important. But, for power efficiency, the physical cells must be rather simple, with no more than two transistors in series for any cell. The entire cell size and threshold voltage selection flow is efficient, with an ability to handle multi-million-gate commercial designs. After using state-of-the-art commercial synthesis, the application of our design automation tools and library results in a dynamic power reduction of 25–35% and leakage power reduction by 50–70% for large logic blocks.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"50 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121752619","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Self-calibration of a power pre-amplifier in a digital polar transmitter 数字极极发射机中功率前置放大器的自校准
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955042
J. Mehta, I. Bashir, Vasile Zoicas, Yongtao Wang, O. Eliezer, K. Waheed, Mitch Entezari, S. Larson, D. Shrestha, S. Rezeq, R. Staszewski, P. Balsara
A built-in self-calibration and self-compensation scheme for a digital power pre-amplifier (DPA) of a mobile handset transceiver is proposed. It allows accurate internal measurements of the amplitude and phase distortions experienced in the DPA using the on-chip receiver and processor. A dynamic range of over 60 dB is achieved using multiple gain settings in the receiver. The proposed scheme, in conjunction with the transceiver's digital architecture, is demonstrated in a 65-nm CMOS GSM/EDGE radio, where it allows for accurate and cost-effective self-calibration to be performed in less than 0.1 s.
提出了一种手机收发器数字功率前置放大器(DPA)的内置自校准自补偿方案。它允许使用片上接收器和处理器对DPA中经历的幅度和相位畸变进行精确的内部测量。通过在接收器中使用多个增益设置,可以实现超过60 dB的动态范围。所提出的方案与收发器的数字架构一起在65纳米CMOS GSM/EDGE无线电中进行了演示,在该无线电中,它允许在不到0.1秒的时间内执行精确且经济高效的自校准。
{"title":"Self-calibration of a power pre-amplifier in a digital polar transmitter","authors":"J. Mehta, I. Bashir, Vasile Zoicas, Yongtao Wang, O. Eliezer, K. Waheed, Mitch Entezari, S. Larson, D. Shrestha, S. Rezeq, R. Staszewski, P. Balsara","doi":"10.1109/DCAS.2010.5955042","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955042","url":null,"abstract":"A built-in self-calibration and self-compensation scheme for a digital power pre-amplifier (DPA) of a mobile handset transceiver is proposed. It allows accurate internal measurements of the amplitude and phase distortions experienced in the DPA using the on-chip receiver and processor. A dynamic range of over 60 dB is achieved using multiple gain settings in the receiver. The proposed scheme, in conjunction with the transceiver's digital architecture, is demonstrated in a 65-nm CMOS GSM/EDGE radio, where it allows for accurate and cost-effective self-calibration to be performed in less than 0.1 s.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123170281","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Design of power-optimal buffers tunable to process variability 功率最优缓冲器的设计可调整到过程的可变性
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955033
Mario Lok, Ku He, Murari Mani, C. Caramanis, M. Orshansky
In many digital designs, multi-stage tapered buffers are needed to drive large capacitive loads. These buffers contribute a significant percentage of overall power. In this paper, we propose two novel tunable buffer designs that enable power reduction in the presence of process variation. A strategy to derive the optimal buffer size and tuning rule in post-silicon phase is developed. By comparing several tunable buffer circuit topologies, we also demonstrate the tradeoffs in tunable buffer topology selection as a function of switching activity, timing requirements, and the magnitude of process variation. Using a combination of HSPICE simulations and our optimization algorithm, we show that up to 30% average power reduction can be achieved with the proposed buffer structures.
在许多数字设计中,多级锥形缓冲器需要驱动大容性负载。这些缓冲器占总功率的很大比例。在本文中,我们提出了两种新颖的可调缓冲器设计,可以在过程变化的情况下降低功率。提出了一种确定后硅相位最优缓冲尺寸和调谐规则的策略。通过比较几种可调缓冲电路拓扑,我们还演示了可调缓冲拓扑选择中的权衡作为开关活动、时序要求和过程变化幅度的函数。结合HSPICE模拟和我们的优化算法,我们表明使用所提出的缓冲结构可以实现高达30%的平均功耗降低。
{"title":"Design of power-optimal buffers tunable to process variability","authors":"Mario Lok, Ku He, Murari Mani, C. Caramanis, M. Orshansky","doi":"10.1109/DCAS.2010.5955033","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955033","url":null,"abstract":"In many digital designs, multi-stage tapered buffers are needed to drive large capacitive loads. These buffers contribute a significant percentage of overall power. In this paper, we propose two novel tunable buffer designs that enable power reduction in the presence of process variation. A strategy to derive the optimal buffer size and tuning rule in post-silicon phase is developed. By comparing several tunable buffer circuit topologies, we also demonstrate the tradeoffs in tunable buffer topology selection as a function of switching activity, timing requirements, and the magnitude of process variation. Using a combination of HSPICE simulations and our optimization algorithm, we show that up to 30% average power reduction can be achieved with the proposed buffer structures.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"2016 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131239866","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A compact current steering DAC with component swapping calibration 一个紧凑的电流转向DAC与元件交换校准
Pub Date : 2010-10-01 DOI: 10.1109/DCAS.2010.5955029
U. Nukala, Kye-Shin Lee
A compact current steering DAC with component swapping calibration is proposed. By using different reference currents for the upper and lower bits conversion, the number of current sources can be considerably reduced. Therefore, an 8-bit DAC can be realized using only four binary weighted current sources, which reduces the effect of current source mismatch. Furthermore, the performance degradation due to resistor mismatch between the reference current generator and output network is calibrated by swapping the two resistors, and taking the average to obtain the final output of the DAC. As a result, the proposed scheme enables the DAC design using resistors with even poor matching. Circuit level simulation results show the INL is 0.85 LSB with 10% resistor and 1% capacitor mismatch, respectively.
提出了一种具有元件交换校准的紧凑型电流转向数模转换器。通过对上下位转换使用不同的参考电流,可以大大减少电流源的数量。因此,仅使用四个二进制加权电流源就可以实现8位DAC,从而减少了电流源不匹配的影响。此外,通过交换两个电阻来校准由于参考电流发生器和输出网络之间的电阻失配而导致的性能下降,并取平均值以获得DAC的最终输出。因此,所提出的方案使DAC设计能够使用匹配甚至较差的电阻。电路级仿真结果表明,在电阻失配10%和电容失配1%的情况下,INL分别为0.85 LSB。
{"title":"A compact current steering DAC with component swapping calibration","authors":"U. Nukala, Kye-Shin Lee","doi":"10.1109/DCAS.2010.5955029","DOIUrl":"https://doi.org/10.1109/DCAS.2010.5955029","url":null,"abstract":"A compact current steering DAC with component swapping calibration is proposed. By using different reference currents for the upper and lower bits conversion, the number of current sources can be considerably reduced. Therefore, an 8-bit DAC can be realized using only four binary weighted current sources, which reduces the effect of current source mismatch. Furthermore, the performance degradation due to resistor mismatch between the reference current generator and output network is calibrated by swapping the two resistors, and taking the average to obtain the final output of the DAC. As a result, the proposed scheme enables the DAC design using resistors with even poor matching. Circuit level simulation results show the INL is 0.85 LSB with 10% resistor and 1% capacitor mismatch, respectively.","PeriodicalId":405694,"journal":{"name":"2010 IEEE Dallas Circuits and Systems Workshop","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127581717","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
2010 IEEE Dallas Circuits and Systems Workshop
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1