首页 > 最新文献

2008 45th ACM/IEEE Design Automation Conference最新文献

英文 中文
An embedded infrastructure of debug and trace interface for the DSP platform DSP平台的嵌入式调试和跟踪接口基础结构
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391688
Ming-Chang Hsieh, Chih-Tsun Huang
The paper presents an infrastructure for debug and trace of the embedded digital signal processor (DSP) system, consisting of the in-system trace interface and its methodology to optimize the compression rate of the program and data traces. The platform has been implemented in a multimedia dual-core SOC design with little area overhead. Both the benchmark evaluation and realistic system integration justified the efficiency and effectiveness of our approach.
本文提出了一种用于嵌入式数字信号处理器(DSP)系统调试和跟踪的基础结构,包括系统内跟踪接口及其优化程序和数据跟踪压缩率的方法。该平台采用多媒体双核SOC设计,占地面积很小。基准评估和实际系统集成验证了该方法的有效性和有效性。
{"title":"An embedded infrastructure of debug and trace interface for the DSP platform","authors":"Ming-Chang Hsieh, Chih-Tsun Huang","doi":"10.1145/1391469.1391688","DOIUrl":"https://doi.org/10.1145/1391469.1391688","url":null,"abstract":"The paper presents an infrastructure for debug and trace of the embedded digital signal processor (DSP) system, consisting of the in-system trace interface and its methodology to optimize the compression rate of the program and data traces. The platform has been implemented in a multimedia dual-core SOC design with little area overhead. Both the benchmark evaluation and realistic system integration justified the efficiency and effectiveness of our approach.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134008924","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Temperature management in multiprocessor SoCs using online learning 使用在线学习的多处理器soc温度管理
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391693
A. Coskun, T. Simunic, K. Gross
In deep submicron circuits, thermal hot spots and high temperature gradients increase the cooling costs, and degrade reliability and performance. In this paper, we propose a low-cost temperature management strategy for multicore systems to reduce the adverse effects of hot spots and temperature variations. Our technique utilizes online learning to select the best policy for the current workload characteristics among a given set of expert policies. We achieve 20% and 60% average decrease in the frequency of hot spots and thermal cycles respectively in comparison to the best performing expert, and reduce the spatial gradients to below 5%.
在深亚微米电路中,热热点和高温梯度增加了冷却成本,降低了可靠性和性能。在本文中,我们提出了一种低成本的多核系统温度管理策略,以减少热点和温度变化的不利影响。我们的技术利用在线学习在一组给定的专家策略中选择适合当前工作负载特征的最佳策略。与表现最好的专家相比,我们在热点和热循环频率上分别实现了20%和60%的平均下降,并将空间梯度降低到5%以下。
{"title":"Temperature management in multiprocessor SoCs using online learning","authors":"A. Coskun, T. Simunic, K. Gross","doi":"10.1145/1391469.1391693","DOIUrl":"https://doi.org/10.1145/1391469.1391693","url":null,"abstract":"In deep submicron circuits, thermal hot spots and high temperature gradients increase the cooling costs, and degrade reliability and performance. In this paper, we propose a low-cost temperature management strategy for multicore systems to reduce the adverse effects of hot spots and temperature variations. Our technique utilizes online learning to select the best policy for the current workload characteristics among a given set of expert policies. We achieve 20% and 60% average decrease in the frequency of hot spots and thermal cycles respectively in comparison to the best performing expert, and reduce the spatial gradients to below 5%.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131159823","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 72
IFRA: Instruction Footprint Recording and Analysis for post-silicon bug localization in processors 处理器中后硅错误定位的指令足迹记录和分析
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391569
Sung-Boem Park, S. Mitra
The objective of IFRA, instruction footprint recording and analysis, is to overcome the challenges associated with a very expensive step in post-silicon validation of processors - bug localization in a system setup. IFRA consists of special design and analysis techniques required to bridge a major gap between system-level and circuit-level debug. Special hardware recorders, called footprint recording structures (FRS's), record semantic information about data and control flows of instructions passing through various design blocks of a processor. This information is recorded concurrently during normal operation of a processor in a post-silicon system validation setup. Upon detection of a problem, the recorded information is scanned out and analyzed for bug localization. Special program analysis techniques, together with the binary of the application executed during post-silicon validation, are used for the analysis. IFRA does not require full system-level reproduction of bugs or system-level simulation. Simulation results on a complex super-scalar processor demonstrate that IFRA is effective in accurately localizing bugs with very little impact on overall chip area.
指令足迹记录和分析IFRA的目标是克服处理器后硅验证中一个非常昂贵的步骤所带来的挑战——系统设置中的错误定位。IFRA由特殊的设计和分析技术组成,以弥合系统级和电路级调试之间的主要差距。特殊的硬件记录器,称为足迹记录结构(FRS),记录有关数据的语义信息和通过处理器的各种设计块的指令的控制流。在后硅系统验证设置中,在处理器的正常操作期间并发记录此信息。一旦发现问题,记录的信息就会被扫描出来,并进行分析以定位问题。特殊的程序分析技术,以及在硅后验证期间执行的应用程序的二进制代码,用于分析。IFRA不需要完整的系统级错误再现或系统级模拟。在一个复杂的超标量处理器上的仿真结果表明,IFRA在对整个芯片面积影响很小的情况下,可以有效地精确定位错误。
{"title":"IFRA: Instruction Footprint Recording and Analysis for post-silicon bug localization in processors","authors":"Sung-Boem Park, S. Mitra","doi":"10.1145/1391469.1391569","DOIUrl":"https://doi.org/10.1145/1391469.1391569","url":null,"abstract":"The objective of IFRA, instruction footprint recording and analysis, is to overcome the challenges associated with a very expensive step in post-silicon validation of processors - bug localization in a system setup. IFRA consists of special design and analysis techniques required to bridge a major gap between system-level and circuit-level debug. Special hardware recorders, called footprint recording structures (FRS's), record semantic information about data and control flows of instructions passing through various design blocks of a processor. This information is recorded concurrently during normal operation of a processor in a post-silicon system validation setup. Upon detection of a problem, the recorded information is scanned out and analyzed for bug localization. Special program analysis techniques, together with the binary of the application executed during post-silicon validation, are used for the analysis. IFRA does not require full system-level reproduction of bugs or system-level simulation. Simulation results on a complex super-scalar processor demonstrate that IFRA is effective in accurately localizing bugs with very little impact on overall chip area.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130915233","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 100
Flow engineering for physical implementation: Theory and practice 物理实现的流程工程:理论与实践
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391471
S. Golson, Peter Churchill
Two consultants, each with over twenty years of experience designing integrated circuits at a variety of companies large and small, are fed up with the imperfect flows typically used by their clients. Drawing on a career's worth of mistakes knowledge, they present a set of coherent engineering principles for building a better flow infrastructure.
两位顾问都在大大小小的各种公司拥有超过20年的集成电路设计经验,他们受够了客户通常使用的不完善的流程。基于职业生涯中积累的错误知识,他们提出了一套连贯的工程原则,用于构建更好的流基础设施。
{"title":"Flow engineering for physical implementation: Theory and practice","authors":"S. Golson, Peter Churchill","doi":"10.1145/1391469.1391471","DOIUrl":"https://doi.org/10.1145/1391469.1391471","url":null,"abstract":"Two consultants, each with over twenty years of experience designing integrated circuits at a variety of companies large and small, are fed up with the imperfect flows typically used by their clients. Drawing on a career's worth of mistakes knowledge, they present a set of coherent engineering principles for building a better flow infrastructure.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"24 6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131187870","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Automatic package and board decoupling capacitor placement using genetic algorithms and M-FDM 基于遗传算法和M-FDM的封装与板解耦电容自动放置
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391611
K. Bharath, E. Engin, M. Swaminathan
In the design of complex power distribution networks (PDN) with multiple power islands, it is required that the PDN represents a low impedance as seen by the digital modules. This is to reduce the simultaneous switching noise (SSN), generated due to the switching activity of digital drivers. Typically this reduction in impedance is accomplished by placing decoupling capacitors between the power and ground planes of a package or board. However, the performance of the decoupling solution is a function of capacitor selection and its placement. In this paper, an automatic capacitor placement optimization method has been proposed. This method relies on a genetic algorithm to provide a stochastic search of the design space, while employing an efficient core PDN simulator based on the multi-layer finite difference method (M-FDM). The technique has been employed to show optimized placements for split planes as well as for a realistic multi-layer server board.
在具有多个功率岛的复杂配电网络(PDN)的设计中,要求PDN从数字模块的角度来看具有低阻抗。这是为了减少由于数字驱动器的开关活动而产生的同时开关噪声(SSN)。通常这种阻抗的降低是通过在封装或电路板的电源和地平面之间放置去耦电容器来实现的。然而,去耦解决方案的性能是电容器选择及其放置的函数。本文提出了一种自动优化电容器布局的方法。该方法依靠遗传算法提供设计空间的随机搜索,同时采用基于多层有限差分法(M-FDM)的高效核心PDN模拟器。该技术已被用于显示分割平面的优化位置以及现实的多层服务器板。
{"title":"Automatic package and board decoupling capacitor placement using genetic algorithms and M-FDM","authors":"K. Bharath, E. Engin, M. Swaminathan","doi":"10.1145/1391469.1391611","DOIUrl":"https://doi.org/10.1145/1391469.1391611","url":null,"abstract":"In the design of complex power distribution networks (PDN) with multiple power islands, it is required that the PDN represents a low impedance as seen by the digital modules. This is to reduce the simultaneous switching noise (SSN), generated due to the switching activity of digital drivers. Typically this reduction in impedance is accomplished by placing decoupling capacitors between the power and ground planes of a package or board. However, the performance of the decoupling solution is a function of capacitor selection and its placement. In this paper, an automatic capacitor placement optimization method has been proposed. This method relies on a genetic algorithm to provide a stochastic search of the design space, while employing an efficient core PDN simulator based on the multi-layer finite difference method (M-FDM). The technique has been employed to show optimized placements for split planes as well as for a realistic multi-layer server board.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133158322","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
A multi-resolution AHB bus tracer for real-time compression of forward/backward traces in a circular buffer 一个多分辨率AHB总线跟踪器,用于实时压缩循环缓冲区中的正向/向后跟踪
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391687
Yi-Ting Lin, Wen-Chi Shiue, Ing-Jer Huang
The forward/backward trace refers to the trace captured after/before a target point is reached, respectively. Real time compression of the backward trace in a circular buffer is a challenging problem since the initial state of the trace currently under compression might be overwritten when wrapping around occurs. This paper presents a real time multi-resolution AHB on-chip bus tracer which is capable of capturing and compressing both forward and backward traces in a circular buffer. The backward trace is accomplished with a ping-pong organization of dual forward trace compression engines. While one module is compressing the trace, the other is clearing up its internal data structure. The roles of the two engines are exchanged periodically. The Bus Tracer, costs 60 K gates and runs up to 500 MHz in TSMC 0.13 mum technology. The experiments show that our approach achieves 2.32 to 3.98 times in effective trace depth than traditional circular buffer approaches.
向前/向后跟踪分别指到达目标点之后/之前捕获的跟踪。在循环缓冲区中对向后跟踪进行实时压缩是一个具有挑战性的问题,因为当前正在压缩的跟踪的初始状态可能在发生绕行时被覆盖。本文提出了一种实时的多分辨率AHB片上总线跟踪器,该跟踪器能够捕获和压缩圆形缓冲区中的正向和向后跟踪。反向跟踪是通过双正向跟踪压缩引擎的乒乓结构完成的。当一个模块压缩跟踪时,另一个模块正在清理其内部数据结构。两个引擎的角色定期交换。总线跟踪器,成本为60k栅极,运行频率高达500mhz,采用台积电0.13 mum技术。实验表明,该方法的有效迹深是传统环形缓冲方法的2.32 ~ 3.98倍。
{"title":"A multi-resolution AHB bus tracer for real-time compression of forward/backward traces in a circular buffer","authors":"Yi-Ting Lin, Wen-Chi Shiue, Ing-Jer Huang","doi":"10.1145/1391469.1391687","DOIUrl":"https://doi.org/10.1145/1391469.1391687","url":null,"abstract":"The forward/backward trace refers to the trace captured after/before a target point is reached, respectively. Real time compression of the backward trace in a circular buffer is a challenging problem since the initial state of the trace currently under compression might be overwritten when wrapping around occurs. This paper presents a real time multi-resolution AHB on-chip bus tracer which is capable of capturing and compressing both forward and backward traces in a circular buffer. The backward trace is accomplished with a ping-pong organization of dual forward trace compression engines. While one module is compressing the trace, the other is clearing up its internal data structure. The roles of the two engines are exchanged periodically. The Bus Tracer, costs 60 K gates and runs up to 500 MHz in TSMC 0.13 mum technology. The experiments show that our approach achieves 2.32 to 3.98 times in effective trace depth than traditional circular buffer approaches.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133474333","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
On tests to detect via opens in digital CMOS circuits 在数字CMOS电路中检测通孔的测试
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391682
S. Reddy, I. Pomeranz, Chen Liu
We consider voltage based (logic) tests to detect complete opens in digital CMOS circuits. Open defects are known to be prevalent in the current VLSI technologies and vias are known to be the primary sites of interconnect opens. The voltage on a circuit node that is disconnected due to an open via is determined by several circuit parameters. As the feature size of VLSI circuits decreases, precise knowledge of the values of circuit parameters may be difficult, if not impossible, to obtain. Thus, it is important to develop methods to generate tests to detect opens that do not require accurate knowledge of circuit parameters. We propose new classes of tests to detect via opens with voltage based (logic) tests that are effective even with imprecise knowledge of circuit parameters. The proposed tests to detect an open via are constituted as a pair of constrained stuck-at fault tests for the circuit node affected by the open defect. One class of proposed tests called circuit parameter independent tests detect via opens even in the case of complete lack of knowledge of the circuit parameters. Experimental results demonstrate that high coverage of open vias can be obtained using the proposed constrained tests.
我们考虑基于电压的(逻辑)测试来检测数字CMOS电路中的完全开路。众所周知,开放缺陷在当前的VLSI技术中非常普遍,而过孔是互连开放的主要位置。由于开孔而断开的电路节点上的电压由几个电路参数决定。随着VLSI电路特征尺寸的减小,电路参数值的精确知识可能很难获得,如果不是不可能的话。因此,开发不需要精确了解电路参数的方法来生成检测开路的测试是很重要的。我们提出了新的测试类别,通过基于电压的(逻辑)测试来检测,即使在不精确的电路参数知识下也有效。所提出的通孔检测方法是由一对受通孔影响的电路节点的受限卡滞故障检测组成的。一类被提议的测试称为电路参数独立测试,即使在完全不知道电路参数的情况下也可以通过打开进行检测。实验结果表明,所提出的约束测试方法可以获得较高的开孔覆盖率。
{"title":"On tests to detect via opens in digital CMOS circuits","authors":"S. Reddy, I. Pomeranz, Chen Liu","doi":"10.1145/1391469.1391682","DOIUrl":"https://doi.org/10.1145/1391469.1391682","url":null,"abstract":"We consider voltage based (logic) tests to detect complete opens in digital CMOS circuits. Open defects are known to be prevalent in the current VLSI technologies and vias are known to be the primary sites of interconnect opens. The voltage on a circuit node that is disconnected due to an open via is determined by several circuit parameters. As the feature size of VLSI circuits decreases, precise knowledge of the values of circuit parameters may be difficult, if not impossible, to obtain. Thus, it is important to develop methods to generate tests to detect opens that do not require accurate knowledge of circuit parameters. We propose new classes of tests to detect via opens with voltage based (logic) tests that are effective even with imprecise knowledge of circuit parameters. The proposed tests to detect an open via are constituted as a pair of constrained stuck-at fault tests for the circuit node affected by the open defect. One class of proposed tests called circuit parameter independent tests detect via opens even in the case of complete lack of knowledge of the circuit parameters. Experimental results demonstrate that high coverage of open vias can be obtained using the proposed constrained tests.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"107 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124117054","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Path smoothing via discrete optimization 通过离散优化实现路径平滑
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391655
Michael D. Moffitt, D. Papa, Zhuo Li, C. Alpert
A fundamental problem in timing-driven physical synthesis is the reduction of critical paths in a design. In this work, we propose a powerful new technique that moves (and can also resize) multiple cells simultaneously to smooth critical paths, thereby reducing delay and improving worst negative slack or a figure-of-merit. Our approach offers several key advantages over previous formulations, including the accurate modeling of objectives and constraints in the true timing model, and a guarantee of legality for all cell locations.
时间驱动物理合成的一个基本问题是设计中关键路径的减少。在这项工作中,我们提出了一种强大的新技术,可以同时移动(也可以调整大小)多个细胞以平滑关键路径,从而减少延迟并改善最坏的负松弛或价值值。我们的方法与以前的公式相比具有几个关键优势,包括在真实时间模型中精确建模目标和约束,并保证所有细胞位置的合法性。
{"title":"Path smoothing via discrete optimization","authors":"Michael D. Moffitt, D. Papa, Zhuo Li, C. Alpert","doi":"10.1145/1391469.1391655","DOIUrl":"https://doi.org/10.1145/1391469.1391655","url":null,"abstract":"A fundamental problem in timing-driven physical synthesis is the reduction of critical paths in a design. In this work, we propose a powerful new technique that moves (and can also resize) multiple cells simultaneously to smooth critical paths, thereby reducing delay and improving worst negative slack or a figure-of-merit. Our approach offers several key advantages over previous formulations, including the accurate modeling of objectives and constraints in the true timing model, and a guarantee of legality for all cell locations.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"38 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124233135","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Keeping hot chips cool: Are IC thermal problems hot air? 保持热芯片冷却:IC热问题是热空气吗?
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391632
R. Puri, D. Varma, D. Edwards, A. Weger, P. Franzon, A. Yang, S. Kosonocky
Thermal issues are becoming more important but is the hype getting the better of the facts? Does this deserve more attention than for some niche designs and technologies such as 3D ICs.? Does the broader design community need to worry about it at 32 nm and beyond or it will only impact a small segment of designs? In short, does the severity of power issues coupled with packaging complexity translate into a thermal crisis in future? This is an educational panel with a little bit of controversy that will address the thermal issue in IC design. When will this issue be emerging as a crucial concern if at all? What are the solutions to resolve this potential crisis?
热问题正变得越来越重要,但炒作是否掩盖了事实?这是否比3D集成电路等小众设计和技术更值得关注?更广泛的设计界是否需要担心32nm及以上的问题,或者它只会影响一小部分设计?简而言之,电源问题的严重性加上封装的复杂性是否会在未来转化为热危机?这是一个有一点争议的教育小组,将解决IC设计中的热问题。这个问题什么时候才会成为一个关键问题?解决这一潜在危机的办法是什么?
{"title":"Keeping hot chips cool: Are IC thermal problems hot air?","authors":"R. Puri, D. Varma, D. Edwards, A. Weger, P. Franzon, A. Yang, S. Kosonocky","doi":"10.1145/1391469.1391632","DOIUrl":"https://doi.org/10.1145/1391469.1391632","url":null,"abstract":"Thermal issues are becoming more important but is the hype getting the better of the facts? Does this deserve more attention than for some niche designs and technologies such as 3D ICs.? Does the broader design community need to worry about it at 32 nm and beyond or it will only impact a small segment of designs? In short, does the severity of power issues coupled with packaging complexity translate into a thermal crisis in future? This is an educational panel with a little bit of controversy that will address the thermal issue in IC design. When will this issue be emerging as a crucial concern if at all? What are the solutions to resolve this potential crisis?","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114362427","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Federation: Repurposing scalar cores for out-of-order instruction issue 联邦:为无序指令问题重新利用标量核
Pub Date : 2008-06-08 DOI: 10.1145/1391469.1391666
D. Tarjan, Michael Boyer, K. Skadron
Future SoCs will contain multiple cores. For workloads with significant parallelism, prior work has shown the benefit of many small, multi-threaded, scalar cores. For workloads that require better single-thread performance, a dedicated, larger core can help but comes at a large opportunity cost in the number of scalar cores that could be provisioned instead. This paper proposes a way to repurpose a pair of scalar cores into a 2-way out-of-order issue core with minimal area overhead. "Federating" scalar cores in this way nevertheless achieves comparable performance to a dedicated out-of-order core and dissipates less power as well.
未来的soc将包含多个内核。对于具有显著并行性的工作负载,先前的工作已经显示了许多小型、多线程、标量内核的好处。对于需要更好的单线程性能的工作负载,专用的、更大的核心可以提供帮助,但是可以提供的标量核心数量的机会成本很大。本文提出了一种以最小的面积开销将一对标量核转换为双向乱序问题核的方法。然而,以这种方式“联合”标量核可以获得与专用乱序核相当的性能,并且消耗更少的功率。
{"title":"Federation: Repurposing scalar cores for out-of-order instruction issue","authors":"D. Tarjan, Michael Boyer, K. Skadron","doi":"10.1145/1391469.1391666","DOIUrl":"https://doi.org/10.1145/1391469.1391666","url":null,"abstract":"Future SoCs will contain multiple cores. For workloads with significant parallelism, prior work has shown the benefit of many small, multi-threaded, scalar cores. For workloads that require better single-thread performance, a dedicated, larger core can help but comes at a large opportunity cost in the number of scalar cores that could be provisioned instead. This paper proposes a way to repurpose a pair of scalar cores into a 2-way out-of-order issue core with minimal area overhead. \"Federating\" scalar cores in this way nevertheless achieves comparable performance to a dedicated out-of-order core and dissipates less power as well.","PeriodicalId":412696,"journal":{"name":"2008 45th ACM/IEEE Design Automation Conference","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-06-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115053689","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 48
期刊
2008 45th ACM/IEEE Design Automation Conference
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1