首页 > 最新文献

ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)最新文献

英文 中文
Accurate and eff icient flow based congestion estimation in floorplanning 准确有效的基于流量的楼层规划拥堵估计
Z. C. Shen, C. Chu
Congestion has been a topic of great importance in the floorplanning of deep-submicron Odesign. In this paper, we design an accurate and efficient congestion estimation model by performing global routing. We interpret the global routing problem as a flow problem of several commodities and relax the integral flow constraints. The objective of resulting fractional flow problem is to minimize the maximum congestion over all edges in the inner dual graph [ 131. The underlying routing graph for each commodity is derived by assigning directions to the inner dual graph edges. We design an efficient two-phase algorithm to solve this fractional flow problem. The first phase is denoted as Incoming Flow Balancing (IFB) by which a good initial solution is derived. The second phase is called Srepwise Flow Refinement (SFR) by which the maximum congestion of the solution in first phase is iteratively reduced to its optimal value. In addition, a valid global routing solution can be obtained by applying a simple rounding procedure on the fractional flow solution. The maximum congestion after rounding is only increased by 2.82% on average according to our experimental results. which justifies the use of fractional flow to estimate the routing congestion. Finally. we demonstrate our model by integrating it into a simulated annealing (SA) based floorplanner, where we use the maximum congestion as part of the cost of SA. The experimental results show that, on average, our congestion-driven floorplanner can generate a much less congested floorplan (-36.44%) with a slight sacrifice in area (+1.30%) and wirelength (+2.64%). The runtime of the whole SA process is only increased moderately (+270%).
在深亚微米平面设计中,拥挤是一个非常重要的课题。本文采用全局路由的方法,设计了一种准确、高效的拥塞估计模型。我们将全局路由问题解释为多个商品的流动问题,并放宽了积分流动约束。所得到的分数流问题的目标是最小化内对偶图中所有边上的最大拥塞[131]。每个商品的底层路由图是通过分配内部对偶图边的方向来导出的。我们设计了一个有效的两阶段算法来解决这个分数流问题。第一阶段表示为入流平衡(IFB),通过该阶段推导出一个良好的初始解。第二阶段称为逐行流细化(SFR),通过迭代将第一阶段解决方案的最大拥塞减少到其最优值。此外,通过对分数流解应用简单的舍入过程,可以得到有效的全局路由解。根据我们的实验结果,舍入后的最大拥塞平均只增加了2.82%。这证明了使用分数流来估计路由拥塞是合理的。最后。我们通过将模型集成到基于模拟退火(SA)的地板规划器中来演示我们的模型,其中我们使用最大拥塞作为SA成本的一部分。实验结果表明,平均而言,我们的拥挤驱动的平面图可以生成一个更少拥挤的平面图(-36.44%),面积(+1.30%)和带宽(+2.64%)略有牺牲。整个SA进程的运行时间仅适度增加(+270%)。
{"title":"Accurate and eff icient flow based congestion estimation in floorplanning","authors":"Z. C. Shen, C. Chu","doi":"10.1109/ASPDAC.2004.1337677","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337677","url":null,"abstract":"Congestion has been a topic of great importance in the floorplanning of deep-submicron Odesign. In this paper, we design an accurate and efficient congestion estimation model by performing global routing. We interpret the global routing problem as a flow problem of several commodities and relax the integral flow constraints. The objective of resulting fractional flow problem is to minimize the maximum congestion over all edges in the inner dual graph [ 131. The underlying routing graph for each commodity is derived by assigning directions to the inner dual graph edges. We design an efficient two-phase algorithm to solve this fractional flow problem. The first phase is denoted as Incoming Flow Balancing (IFB) by which a good initial solution is derived. The second phase is called Srepwise Flow Refinement (SFR) by which the maximum congestion of the solution in first phase is iteratively reduced to its optimal value. In addition, a valid global routing solution can be obtained by applying a simple rounding procedure on the fractional flow solution. The maximum congestion after rounding is only increased by 2.82% on average according to our experimental results. which justifies the use of fractional flow to estimate the routing congestion. Finally. we demonstrate our model by integrating it into a simulated annealing (SA) based floorplanner, where we use the maximum congestion as part of the cost of SA. The experimental results show that, on average, our congestion-driven floorplanner can generate a much less congested floorplan (-36.44%) with a slight sacrifice in area (+1.30%) and wirelength (+2.64%). The runtime of the whole SA process is only increased moderately (+270%).","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122779085","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Efficient reachability checking using sequential SAT 使用顺序SAT进行有效的可达性检查
G. Parthasarathy, Madhu K. Iyer, K. Cheng, Li-C. Wang
Reachability checking and preimage computation are fundamental problems in ATPG and formal verification. Traditional sequential search techniques based on ATPG/SAT, or on OBDDS have diverging strengths and weaknesses. Here, we describe how structural analysis and conflict-based learning are combined in order to improve the efficiency of sequential search. We use conflict-based learning and illegal state learning across time-frames. We also address issues in efficiently bounding the search space in a single time-frame and across time-frames. We analyze each of these techniques experimentally and demonstrate the advantages of each technique. We compare performance against a commercial sequential ATPG engine and VIS [RK. Brayton et al., (1996)] on a set of standard benchmarks.
可达性检验和预像计算是ATPG和形式化验证中的基本问题。传统的基于ATPG/SAT的顺序搜索技术和基于OBDDS的顺序搜索技术各有优缺点。在这里,我们描述了如何将结构分析和基于冲突的学习相结合,以提高顺序搜索的效率。我们使用基于冲突的学习和跨时间框架的非法状态学习。我们还解决了在单个时间框架和跨时间框架内有效限定搜索空间的问题。我们通过实验分析了每种技术,并展示了每种技术的优点。我们将性能与商用顺序ATPG发动机和VIS [RK]进行比较。Brayton et al.,(1996)]在一组标准基准上。
{"title":"Efficient reachability checking using sequential SAT","authors":"G. Parthasarathy, Madhu K. Iyer, K. Cheng, Li-C. Wang","doi":"10.1109/ASPDAC.2004.1337611","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337611","url":null,"abstract":"Reachability checking and preimage computation are fundamental problems in ATPG and formal verification. Traditional sequential search techniques based on ATPG/SAT, or on OBDDS have diverging strengths and weaknesses. Here, we describe how structural analysis and conflict-based learning are combined in order to improve the efficiency of sequential search. We use conflict-based learning and illegal state learning across time-frames. We also address issues in efficiently bounding the search space in a single time-frame and across time-frames. We analyze each of these techniques experimentally and demonstrate the advantages of each technique. We compare performance against a commercial sequential ATPG engine and VIS [RK. Brayton et al., (1996)] on a set of standard benchmarks.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129604468","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
An image-sensor-based optical receiver fabricated in a standard 0.35-/spl mu/m CMOS technology for free-space optical communications 一种基于图像传感器的光接收机,采用标准的0.35-/spl μ m CMOS技术制造,用于自由空间光通信
K. Kagawa, Tomoaki Kawakami, Hiroaki Asazu, T. Ikeuchi, A. Fujiuchi, J. Ohta, M. Nunoshita
We have developed an image-sensor-based optical receiver for free-space optical communications. In our scheme, each pixel has a function of an optical receiver as well as an image sensor. The functional mode can be selected pixel hy pixel. The position of a communication target is detected from the image captured in the image sensor mode. Then, functional mode of the pixel receiving optical signals is changed to the optical receiver mode to start Communication. We designed and fabricated a 50x50-pixel photo receiver in a standard 0.35 -pm CMOS technology, and fundamental operations were successfully verified. Total transimpedance gain of more than 200 kR and data rate of 30 Mhps and 50 Mhps for wavelength of 830 nm and 650 nm, respectively, were obtained.
我们开发了一种基于图像传感器的光接收机,用于自由空间光通信。在我们的方案中,每个像素都具有光接收器和图像传感器的功能。功能模式可以逐像素选择。从在图像传感器模式中捕获的图像检测通信目标的位置。然后,将接收光信号的像素点的功能模式切换为光接收器模式,开始通信。我们采用标准的0.35 pm CMOS工艺设计并制作了一个50x50像素的光接收器,并成功验证了基本操作。在830 nm和650 nm波长下,获得了超过200 kR的总跨阻增益和30 Mhps和50 Mhps的数据速率。
{"title":"An image-sensor-based optical receiver fabricated in a standard 0.35-/spl mu/m CMOS technology for free-space optical communications","authors":"K. Kagawa, Tomoaki Kawakami, Hiroaki Asazu, T. Ikeuchi, A. Fujiuchi, J. Ohta, M. Nunoshita","doi":"10.1109/ASPDAC.2004.1337646","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337646","url":null,"abstract":"We have developed an image-sensor-based optical receiver for free-space optical communications. In our scheme, each pixel has a function of an optical receiver as well as an image sensor. The functional mode can be selected pixel hy pixel. The position of a communication target is detected from the image captured in the image sensor mode. Then, functional mode of the pixel receiving optical signals is changed to the optical receiver mode to start Communication. We designed and fabricated a 50x50-pixel photo receiver in a standard 0.35 -pm CMOS technology, and fundamental operations were successfully verified. Total transimpedance gain of more than 200 kR and data rate of 30 Mhps and 50 Mhps for wavelength of 830 nm and 650 nm, respectively, were obtained.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129159469","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Instruction buffering exploration for low energy VLIWs with instruction clusters 带指令簇的低能量VLIWs指令缓冲探索
T. Aa, M. Jayapala, F. Barat, Geert Deconinck, R. Lauwereins, F. Catthoor, H. Corporaal
For multimedia applications, loop buffering is an efficient mechanism to reduce the power in the instruction memory of embedded processors. In particular, sofrwcre controlled clustered loop buffers are energy efficient. However current compilers for VLIW do not fully exploit the potentials offered by such a clustered organization This paper presents an algorithm to explore what is the optimal loop huffer configuration and the optimal way to use this configuration for an application or a set of applications. Results for the MediaBeneh application suite show an additional 18% reduction (on average) in energy in the instruction memory hierarchy as compared to traditional nonclustered approaches to the loop huffer without compromising performance.
对于多媒体应用来说,循环缓冲是一种有效的降低嵌入式处理器指令存储器功耗的机制。特别是,软件控制的集群循环缓冲器是节能的。然而,当前的VLIW编译器并没有充分利用这种集群组织所提供的潜力。本文提出了一种算法来探索什么是最佳的环路huffer配置,以及在一个或一组应用程序中使用这种配置的最佳方式。MediaBeneh应用程序套件的结果显示,与传统的非集群循环huffer方法相比,在不影响性能的情况下,指令内存层次结构中的能量(平均)减少了18%。
{"title":"Instruction buffering exploration for low energy VLIWs with instruction clusters","authors":"T. Aa, M. Jayapala, F. Barat, Geert Deconinck, R. Lauwereins, F. Catthoor, H. Corporaal","doi":"10.1109/ASPDAC.2004.1337708","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337708","url":null,"abstract":"For multimedia applications, loop buffering is an efficient mechanism to reduce the power in the instruction memory of embedded processors. In particular, sofrwcre controlled clustered loop buffers are energy efficient. However current compilers for VLIW do not fully exploit the potentials offered by such a clustered organization This paper presents an algorithm to explore what is the optimal loop huffer configuration and the optimal way to use this configuration for an application or a set of applications. Results for the MediaBeneh application suite show an additional 18% reduction (on average) in energy in the instruction memory hierarchy as compared to traditional nonclustered approaches to the loop huffer without compromising performance.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"344 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122757725","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Jitter spectral extraction for multi-gigahertz signal 多千兆赫信号的抖动频谱提取
C. Ong, Dongwoo Hong, K. Cheng, Li-C. Wang
We propose a method for extracting the spectral information of a multigigahertz jittery signal. This method may utilize existing on-chip single-shot period measurement techniques to measure the multigigahertz signal periods for spectral analysis. This method does not require an external sampling clock, nor any additional measurement beyond existing techniques. Experimental results show that this analysis method can accurately estimate the amount and frequencies of periodic and random jitter of a multigigahertz signal.
提出了一种提取多千兆赫抖动信号频谱信息的方法。该方法可以利用现有的片上单次周期测量技术来测量用于频谱分析的多千兆赫信号周期。该方法不需要外部采样时钟,也不需要任何超出现有技术的额外测量。实验结果表明,该分析方法能准确地估计出多兆赫信号的周期性和随机抖动的量和频率。
{"title":"Jitter spectral extraction for multi-gigahertz signal","authors":"C. Ong, Dongwoo Hong, K. Cheng, Li-C. Wang","doi":"10.1109/ASPDAC.2004.1337584","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337584","url":null,"abstract":"We propose a method for extracting the spectral information of a multigigahertz jittery signal. This method may utilize existing on-chip single-shot period measurement techniques to measure the multigigahertz signal periods for spectral analysis. This method does not require an external sampling clock, nor any additional measurement beyond existing techniques. Experimental results show that this analysis method can accurately estimate the amount and frequencies of periodic and random jitter of a multigigahertz signal.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116559867","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
A non-iterative model for switching window computation with crosstalk noise 含串扰噪声的开关窗计算的非迭代模型
O. Hafiz, Pinhong Chen, Janet Roveda
Proper modeling of the switching windows leads to a better estimate of the noise induced delay variations. The present paper proposes a new continous switching window model. This new model is combined with an ordering technique that avoids convergence and multiple solution issues in the fixed point iteration methods. Experimental results show that our new model can achieve 2-3x times speedup over the fixed point iteration methods, and provide better simulation results than the discrete models and the event-driven based method.
对开关窗进行适当的建模可以更好地估计噪声引起的延迟变化。本文提出了一种新的连续切换窗口模型。该模型与排序技术相结合,避免了不动点迭代法的收敛性和多解性问题。实验结果表明,与不动点迭代方法相比,新模型的速度提高了2-3倍,仿真效果优于离散模型和基于事件驱动的方法。
{"title":"A non-iterative model for switching window computation with crosstalk noise","authors":"O. Hafiz, Pinhong Chen, Janet Roveda","doi":"10.1109/ISCAS.2004.1329317","DOIUrl":"https://doi.org/10.1109/ISCAS.2004.1329317","url":null,"abstract":"Proper modeling of the switching windows leads to a better estimate of the noise induced delay variations. The present paper proposes a new continous switching window model. This new model is combined with an ordering technique that avoids convergence and multiple solution issues in the fixed point iteration methods. Experimental results show that our new model can achieve 2-3x times speedup over the fixed point iteration methods, and provide better simulation results than the discrete models and the event-driven based method.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"88 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124148614","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
High-level area and power-up current estimation considering rich cell library 考虑丰富单元库的高电平面积和上电电流估计
Fei Li, Lei He, J. Basile, Rakesh J. Patel, H. Ramamurthy
Reducing the ever-growing leakage power is critical to power efficient designs. Leakage reduction techniques such as power-gating using sleep transistor insertion introduces large power-up current that may affect circuit reliability as well as introduce performance loss. We present an in-depth study of high-level power-up current modeling and estimation in the context of a full custom design environment with a rich cell library. We propose a methodology to estimate the circuit area in terms of gate count and maximum power-up current for any given logic function. We build novel estimation metrics based on logic synthesis and gate level analysis using only a small number of typical circuits, but no further logic synthesis and gate level analysis are needed during our estimation. Compared to time-consuming logic synthesis and gate level analysis, the average errors for circuits from a leading industrial design project are 23.59% for area and 21.44% for maximum power-up current. In contrast, estimation based on quick synthesis leads to llx area difference in gate count for an Bbit adder.
降低日益增长的泄漏功率是节能设计的关键。诸如使用休眠晶体管插入的电源门控等减少泄漏的技术引入了大的上电电流,这可能会影响电路的可靠性以及引入性能损失。我们在一个具有丰富单元库的完整定制设计环境中对高级上电电流建模和估计进行了深入研究。我们提出了一种方法来估计电路面积的门数和最大上电电流为任何给定的逻辑功能。我们仅使用少量典型电路构建了基于逻辑综合和门电平分析的新型估计指标,但在我们的估计过程中不需要进一步的逻辑综合和门电平分析。与耗时的逻辑合成和门电平分析相比,一个领先的工业设计项目的电路面积平均误差为23.59%,最大上电电流平均误差为21.44%。相比之下,基于快速综合的估计导致Bbit加法器的栅极计数有llx的面积差。
{"title":"High-level area and power-up current estimation considering rich cell library","authors":"Fei Li, Lei He, J. Basile, Rakesh J. Patel, H. Ramamurthy","doi":"10.5555/1015090.1015329","DOIUrl":"https://doi.org/10.5555/1015090.1015329","url":null,"abstract":"Reducing the ever-growing leakage power is critical to power efficient designs. Leakage reduction techniques such as power-gating using sleep transistor insertion introduces large power-up current that may affect circuit reliability as well as introduce performance loss. We present an in-depth study of high-level power-up current modeling and estimation in the context of a full custom design environment with a rich cell library. We propose a methodology to estimate the circuit area in terms of gate count and maximum power-up current for any given logic function. We build novel estimation metrics based on logic synthesis and gate level analysis using only a small number of typical circuits, but no further logic synthesis and gate level analysis are needed during our estimation. Compared to time-consuming logic synthesis and gate level analysis, the average errors for circuits from a leading industrial design project are 23.59% for area and 21.44% for maximum power-up current. In contrast, estimation based on quick synthesis leads to llx area difference in gate count for an Bbit adder.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"60 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126155065","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Improving simulation-based verification by means of formal methods 利用形式化方法改进基于仿真的验证
G. Fey, R. Drechsler
The design of complex systems is largely ruled by the time needed for verification. Even though formal methods can provide higher reliability, in practice often simulation based verification is used. Large testbenches are created and if the design produces the correct output for all stimuli it is said to be correct. But there is no guarantee that the testbench is complete in the sense that it contains test-cases for all ¿important¿ situations. We propose an approach to detect ¿gaps¿ in testbenches, i.e. behavior that is not tested. The approach relies on automatic generation of properties from the testbench in terms of a formal property language. By construction the properties are valid within the testbench. A model checker proves the validity of the property on the design. If this proof succeeds, the testbench covers all possible situations for given signals. In case of failure counter-examples are produced. These counter-examples represent behavior that is not tested, i.e. a gap in the testhench. The feasibility of the approach is underlined by experiments.
复杂系统的设计在很大程度上取决于验证所需的时间。尽管形式化方法可以提供更高的可靠性,但在实践中经常使用基于仿真的验证。大型的试验台被创建,如果设计对所有刺激产生正确的输出,就说它是正确的。但是不能保证测试台是完整的,因为它包含了所有“重要”情况的测试用例。我们提出了一种方法来检测测试台上的“空白”,即未测试的行为。该方法依赖于根据正式属性语言从测试台中自动生成属性。通过构造,这些特性在试验台内是有效的。模型检查器验证了该特性在设计上的有效性。如果证明成功,测试平台将涵盖给定信号的所有可能情况。在失败的情况下,给出反例。这些反例代表了未被测试的行为,即在testhench中的空白。实验证明了该方法的可行性。
{"title":"Improving simulation-based verification by means of formal methods","authors":"G. Fey, R. Drechsler","doi":"10.1109/ASPDAC.2004.1337670","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337670","url":null,"abstract":"The design of complex systems is largely ruled by the time needed for verification. Even though formal methods can provide higher reliability, in practice often simulation based verification is used. Large testbenches are created and if the design produces the correct output for all stimuli it is said to be correct. But there is no guarantee that the testbench is complete in the sense that it contains test-cases for all ¿important¿ situations. We propose an approach to detect ¿gaps¿ in testbenches, i.e. behavior that is not tested. The approach relies on automatic generation of properties from the testbench in terms of a formal property language. By construction the properties are valid within the testbench. A model checker proves the validity of the property on the design. If this proof succeeds, the testbench covers all possible situations for given signals. In case of failure counter-examples are produced. These counter-examples represent behavior that is not tested, i.e. a gap in the testhench. The feasibility of the approach is underlined by experiments.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"10 11","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120856416","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 26
Decode filter cache for energy efficient instruction cache hierarchy in super scalar architectures 解码滤波器缓存节能指令缓存层次结构在超标量架构
K. Vivekanandarajah, T. Srikanthan, S. Bhattacharyya
The power consumption of microprocessors has been increasing in step with the complexity of each progressive generation. In general purpose processors, this is primarily attributed to the high energy consumption of fetch and decode circuitry, pursuant to the high instruction issue rate required of these high performance processors. Predictive decode filter cache (DFC) has been shown to be effective in reducing the fetch and decode energy consumed by the instruction cache hierarchy of inorder single issue processors. We propose the architectural level enhancements to facilitate the incorporation of the DFC in wide issue superscalar processors for an energy efficient memory hierarchy. Extensive simulations on the modified superscalar architecture shows that the use of the (predictor based) DFC results in an average reduction of 17.33% and 25.09% fetch energy reduction in LI cache along with 37.2% and 46.6% reduction in number of decodes for 64 and 128 instruction DFC respectively. This fetch and decode energy savings are achieved with minimal reduction in the average instruction per cycle (IPC) of 0.54% and 0.73% for 64 and 128 instruction DFC for the selected set of spec2000 benchmarks.
微处理器的功耗随着每一代进步的复杂性而不断增加。在通用处理器中,这主要归因于读取和解码电路的高能耗,因为这些高性能处理器需要高指令发布率。预测解码滤波器缓存(DFC)在降低无序单任务处理器指令缓存层次结构所消耗的读取和解码能量方面是有效的。我们提出了架构级别的改进,以促进将DFC集成到大规模超标量处理器中,从而实现节能的内存层次结构。在改进的超标量架构上的大量模拟表明,使用(基于预测器的)DFC导致LI缓存中的读取能量平均减少17.33%和25.09%,64和128指令DFC的解码数量分别减少37.2%和46.6%。对于选定的spec2000基准集,64和128指令DFC的平均每周期指令(IPC)减少了0.54%和0.73%,从而实现了这种获取和解码能量节约。
{"title":"Decode filter cache for energy efficient instruction cache hierarchy in super scalar architectures","authors":"K. Vivekanandarajah, T. Srikanthan, S. Bhattacharyya","doi":"10.1109/ASPDAC.2004.1337602","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337602","url":null,"abstract":"The power consumption of microprocessors has been increasing in step with the complexity of each progressive generation. In general purpose processors, this is primarily attributed to the high energy consumption of fetch and decode circuitry, pursuant to the high instruction issue rate required of these high performance processors. Predictive decode filter cache (DFC) has been shown to be effective in reducing the fetch and decode energy consumed by the instruction cache hierarchy of inorder single issue processors. We propose the architectural level enhancements to facilitate the incorporation of the DFC in wide issue superscalar processors for an energy efficient memory hierarchy. Extensive simulations on the modified superscalar architecture shows that the use of the (predictor based) DFC results in an average reduction of 17.33% and 25.09% fetch energy reduction in LI cache along with 37.2% and 46.6% reduction in number of decodes for 64 and 128 instruction DFC respectively. This fetch and decode energy savings are achieved with minimal reduction in the average instruction per cycle (IPC) of 0.54% and 0.73% for 64 and 128 instruction DFC for the selected set of spec2000 benchmarks.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"44 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130454383","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A retinal prosthetic device using a pulse-frequency-modulation CMOS image sensor 一种采用脉冲频率调制CMOS图像传感器的视网膜假体装置
J. Ohta, T. Furumiya, D. C. Ng, A. Uehara, K. Kagawa, T. Tokuda, M. Nunoshita
This paper describes a retinal prosthetic device using a pulse frequency modulation (PFM) based photosensor with a standard CMOS technology and its modification to stimulate retinal cells effectively. A 32x32-pixel PFM photosensor array chip have been fabricated using 0.6 μm CMOS technology and demonstrated the improved functions.
本文介绍了一种基于脉冲频率调制(PFM)的光敏传感器与标准CMOS技术的视网膜假体装置及其改进,以有效地刺激视网膜细胞。采用0.6 μm CMOS技术制备了32x32像素的PFM光敏传感器阵列芯片,并展示了改进后的功能。
{"title":"A retinal prosthetic device using a pulse-frequency-modulation CMOS image sensor","authors":"J. Ohta, T. Furumiya, D. C. Ng, A. Uehara, K. Kagawa, T. Tokuda, M. Nunoshita","doi":"10.1109/ASPDAC.2004.1337643","DOIUrl":"https://doi.org/10.1109/ASPDAC.2004.1337643","url":null,"abstract":"This paper describes a retinal prosthetic device using a pulse frequency modulation (PFM) based photosensor with a standard CMOS technology and its modification to stimulate retinal cells effectively. A 32x32-pixel PFM photosensor array chip have been fabricated using 0.6 μm CMOS technology and demonstrated the improved functions.","PeriodicalId":426349,"journal":{"name":"ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)","volume":"102 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2004-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132116571","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1