首页 > 最新文献

IET Computers and Digital Techniques最新文献

英文 中文
E-Commerce Logistics Software Package Tracking and Route Planning and Optimization System of Embedded Technology Based on the Intelligent Era 基于智能时代嵌入式技术的电子商务物流软件包跟踪与路线规划优化系统
IF 1.1 4区 计算机科学 Q4 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-10-11 DOI: 10.1049/2024/6687853
Dan Zhang, Zhiyang Jia

In the Internet era, the e-commerce industry has risen, its development scale continues to expand, cross-border e-commerce (CBEC) has also been born, and it is now in the stage of sustainable development. The rapid development of CBEC also needs the strong support of logistics, the two are inseparable, and today, the development scale of CBEC is constantly expanding. The existing e-commerce logistics (ECL) model is also gradually unable to meet the increasingly diverse needs of users, and new logistics models need to be actively explored. To change this situation, this paper carried out a specific analysis of CBEC logistics model, and applied embedded technology to ECL, which also built a logistics tracking system. At the same time, combined with the ant colony algorithm, the paper carried out experimental research on the logistics package distribution route planning problem. From the experimental results, in terms of average delivery time, the algorithm’s result was 25.95 hr, while the traditional algorithm was 32.53 hr; in terms of average distribution freight cost, the algorithm’s result was 163.3 yuan, while the traditional algorithm was 257.7 yuan; in terms of average distribution cost, this algorithm’s result was 131.53 yuan, while the traditional algorithm was 211.68 yuan. To sum up, this algorithm could effectively optimize the distribution route of logistics packages and improve the efficiency of package transportation.

在互联网时代,电子商务产业异军突起,发展规模不断扩大,跨境电子商务(CBEC)也应运而生,目前正处于可持续发展阶段。CBEC 的快速发展也需要物流的大力支持,二者密不可分,如今,CBEC 的发展规模正在不断扩大。现有的电子商务物流(ECL)模式也逐渐无法满足用户日益多样化的需求,需要积极探索新的物流模式。为了改变这一现状,本文对 CBEC 物流模式进行了具体分析,并将嵌入式技术应用到 ECL 中,还构建了物流跟踪系统。同时,结合蚁群算法,本文对物流包裹配送路线规划问题进行了实验研究。从实验结果来看,在平均配送时间方面,该算法的结果为25.95小时,而传统算法为32.53小时;在平均配送运费方面,该算法的结果为163.3元,而传统算法为257.7元;在平均配送成本方面,该算法的结果为131.53元,而传统算法为211.68元。综上所述,该算法可以有效优化物流包裹的配送路线,提高包裹运输效率。
{"title":"E-Commerce Logistics Software Package Tracking and Route Planning and Optimization System of Embedded Technology Based on the Intelligent Era","authors":"Dan Zhang,&nbsp;Zhiyang Jia","doi":"10.1049/2024/6687853","DOIUrl":"https://doi.org/10.1049/2024/6687853","url":null,"abstract":"<div>\u0000 <p>In the Internet era, the e-commerce industry has risen, its development scale continues to expand, cross-border e-commerce (CBEC) has also been born, and it is now in the stage of sustainable development. The rapid development of CBEC also needs the strong support of logistics, the two are inseparable, and today, the development scale of CBEC is constantly expanding. The existing e-commerce logistics (ECL) model is also gradually unable to meet the increasingly diverse needs of users, and new logistics models need to be actively explored. To change this situation, this paper carried out a specific analysis of CBEC logistics model, and applied embedded technology to ECL, which also built a logistics tracking system. At the same time, combined with the ant colony algorithm, the paper carried out experimental research on the logistics package distribution route planning problem. From the experimental results, in terms of average delivery time, the algorithm’s result was 25.95 hr, while the traditional algorithm was 32.53 hr; in terms of average distribution freight cost, the algorithm’s result was 163.3 yuan, while the traditional algorithm was 257.7 yuan; in terms of average distribution cost, this algorithm’s result was 131.53 yuan, while the traditional algorithm was 211.68 yuan. To sum up, this algorithm could effectively optimize the distribution route of logistics packages and improve the efficiency of package transportation.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2024-10-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/2024/6687853","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142429696","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Configurable Accelerator for CNN-Based Remote Sensing Object Detection on FPGAs FPGA 上基于 CNN 的遥感物体检测的可配置加速器
IF 1.1 4区 计算机科学 Q3 Engineering Pub Date : 2024-06-20 DOI: 10.1049/2024/4415342
Yingzhao Shao, Jincheng Shang, Yunsong Li, Yueli Ding, Mingming Zhang, Ke Ren, Yang Liu

Convolutional neural networks (CNNs) have been widely used in satellite remote sensing. However, satellites in orbit with limited resources and power consumption cannot meet the storage and computing power requirements of current million-scale artificial intelligence models. This paper proposes a new generation of high flexibility and intelligent CNNs hardware accelerator for satellite remote sensing in order to make its computing carrier more lightweight and efficient. A data quantization scheme for INT16 or INT8 is designed based on the idea of dynamic fixed point numbers and is applied to different scenarios. The operation mode of the systolic array is divided into channel blocks, and the calculation method is optimized to increase the utilization of on-chip computing resources and enhance the calculation efficiency. An RTL-level CNNs field programable gate arrays accelerator with microinstruction sequence scheduling data flow is then designed. The hardware framework is built upon the Xilinx VC709. The results show that, under INT16 or INT8 precision, the system achieves remarkable throughput in most convolutional layers of the network, with an average performance of 153.14 giga operations per second (GOPS) or 301.52 GOPS, which is close to the system’s peak performance, taking full advantage of the platform’s parallel computing capabilities.

卷积神经网络(CNN)已广泛应用于卫星遥感领域。然而,在轨卫星资源和功耗有限,无法满足当前百万量级人工智能模型的存储和计算能力要求。本文提出了一种用于卫星遥感的新一代高灵活性、高智能 CNN 硬件加速器,以使其计算载体更加轻便高效。基于动态定点数的思想,设计了一种 INT16 或 INT8 的数据量化方案,并应用于不同场景。将系统阵列的运行模式划分为通道块,并优化计算方法,以提高片上计算资源的利用率和计算效率。然后,设计了一个具有微指令序列调度数据流的 RTL 级 CNNs 现场可编程门阵列加速器。硬件框架基于 Xilinx VC709。结果表明,在 INT16 或 INT8 精度条件下,该系统在大多数卷积层网络中实现了显著的吞吐量,平均每秒 153.14 千兆操作(GOPS)或 301.52 GOPS,接近系统的峰值性能,充分利用了平台的并行计算能力。
{"title":"A Configurable Accelerator for CNN-Based Remote Sensing Object Detection on FPGAs","authors":"Yingzhao Shao,&nbsp;Jincheng Shang,&nbsp;Yunsong Li,&nbsp;Yueli Ding,&nbsp;Mingming Zhang,&nbsp;Ke Ren,&nbsp;Yang Liu","doi":"10.1049/2024/4415342","DOIUrl":"https://doi.org/10.1049/2024/4415342","url":null,"abstract":"<div>\u0000 <p>Convolutional neural networks (CNNs) have been widely used in satellite remote sensing. However, satellites in orbit with limited resources and power consumption cannot meet the storage and computing power requirements of current million-scale artificial intelligence models. This paper proposes a new generation of high flexibility and intelligent CNNs hardware accelerator for satellite remote sensing in order to make its computing carrier more lightweight and efficient. A data quantization scheme for INT16 or INT8 is designed based on the idea of dynamic fixed point numbers and is applied to different scenarios. The operation mode of the systolic array is divided into channel blocks, and the calculation method is optimized to increase the utilization of on-chip computing resources and enhance the calculation efficiency. An RTL-level CNNs field programable gate arrays accelerator with microinstruction sequence scheduling data flow is then designed. The hardware framework is built upon the Xilinx VC709. The results show that, under INT16 or INT8 precision, the system achieves remarkable throughput in most convolutional layers of the network, with an average performance of 153.14 giga operations per second (GOPS) or 301.52 GOPS, which is close to the system’s peak performance, taking full advantage of the platform’s parallel computing capabilities.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2024-06-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/2024/4415342","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141435679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A FPGA Accelerator of Distributed A3C Algorithm with Optimal Resource Deployment 优化资源调配的分布式 A3C 算法 FPGA 加速器
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2024-05-27 DOI: 10.1049/2024/7855250
Fen Ge, Guohui Zhang, Ziyu Li, Fang Zhou

The asynchronous advantage actor-critic (A3C) algorithm is widely regarded as one of the most effective and powerful algorithms among various deep reinforcement learning algorithms. However, the distributed and asynchronous nature of the A3C algorithm brings increased algorithm complexity and computational requirements, which not only leads to an increased training cost but also amplifies the difficulty of deploying the algorithm on resource-limited field programmable gate array (FPGA) platforms. In addition, the resource wastage problem caused by the distributed training characteristics of A3C algorithms and the resource allocation problem affected by the imbalance between the computational amount of inference and training need to be carefully considered when designing accelerators. In this paper, we introduce a deployment strategy designed for distributed algorithms aimed at enhancing the resource utilization of hardware devices. Subsequently, a FPGA architecture is constructed specifically for accelerating the inference and training processes of the A3C algorithm. The experimental results show that our proposed deployment strategy reduces resource consumption by 62.5% and decreases the number of agents waiting for training by 32.2%, and the proposed A3C accelerator achieves 1.83× and 2.39× improvements in speedup compared to CPU (Intel i9-13900K) and GPU (NVIDIA RTX 4090) with less power consumption respectively. Furthermore, our design shows superior resource efficiency compared to existing works.

异步优势行动者批判(A3C)算法被广泛认为是各种深度强化学习算法中最有效、最强大的算法之一。然而,A3C 算法的分布式和异步特性增加了算法的复杂性和计算要求,不仅导致训练成本增加,而且加大了在资源有限的现场可编程门阵列(FPGA)平台上部署该算法的难度。此外,A3C 算法的分布式训练特性所造成的资源浪费问题,以及推理计算量和训练计算量不平衡所影响的资源分配问题,都需要在设计加速器时仔细考虑。本文介绍了一种为分布式算法设计的部署策略,旨在提高硬件设备的资源利用率。随后,我们构建了一种 FPGA 架构,专门用于加速 A3C 算法的推理和训练过程。实验结果表明,我们提出的部署策略降低了 62.5% 的资源消耗,减少了 32.2% 的等待训练的代理数量,与功耗更低的 CPU(英特尔 i9-13900K)和 GPU(英伟达 RTX 4090)相比,我们提出的 A3C 加速器的速度分别提高了 1.83 倍和 2.39 倍。此外,与现有作品相比,我们的设计显示出更高的资源效率。
{"title":"A FPGA Accelerator of Distributed A3C Algorithm with Optimal Resource Deployment","authors":"Fen Ge,&nbsp;Guohui Zhang,&nbsp;Ziyu Li,&nbsp;Fang Zhou","doi":"10.1049/2024/7855250","DOIUrl":"https://doi.org/10.1049/2024/7855250","url":null,"abstract":"<div>\u0000 <p>The asynchronous advantage actor-critic (A3C) algorithm is widely regarded as one of the most effective and powerful algorithms among various deep reinforcement learning algorithms. However, the distributed and asynchronous nature of the A3C algorithm brings increased algorithm complexity and computational requirements, which not only leads to an increased training cost but also amplifies the difficulty of deploying the algorithm on resource-limited field programmable gate array (FPGA) platforms. In addition, the resource wastage problem caused by the distributed training characteristics of A3C algorithms and the resource allocation problem affected by the imbalance between the computational amount of inference and training need to be carefully considered when designing accelerators. In this paper, we introduce a deployment strategy designed for distributed algorithms aimed at enhancing the resource utilization of hardware devices. Subsequently, a FPGA architecture is constructed specifically for accelerating the inference and training processes of the A3C algorithm. The experimental results show that our proposed deployment strategy reduces resource consumption by 62.5% and decreases the number of agents waiting for training by 32.2%, and the proposed A3C accelerator achieves 1.83× and 2.39× improvements in speedup compared to CPU (Intel i9-13900K) and GPU (NVIDIA RTX 4090) with less power consumption respectively. Furthermore, our design shows superior resource efficiency compared to existing works.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2024-05-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/2024/7855250","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141246095","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An Efficient RTL Design for a Wearable Brain–Computer Interface 可穿戴式脑机接口的高效 RTL 设计
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2024-03-08 DOI: 10.1049/2024/5596468
Tahereh Vasei, Mohammad Ali Saber, Alireza Nahvy, Zainalabedin Navabi

This article proposes an efficient and accurate embedded motor imagery-based brain–computer interface (MI-BCI) that meets the requirements for wearable and real-time applications. To achieve a suitable accuracy considering hardware constraints, we explore BCI transducer algorithms, among which Infinite impulse response (IIR) filter, common spatial pattern, and support vector machine are used to preprocess, extract features, and classify data, respectively. With our hardware implementation of these tasks, we have achieved an accuracy of 77%. Our system is designed at register transfer level (RTL) targeting an ASIC implementation, which significantly decreases power consumption, latency, and area compared to the state-of-the-art (SoA) architectures for embedded BCI systems. To this end, we fold IIR filters using time-shared and RAM-based techniques and use hardware-friendly algorithms for the implementation of other tasks. The RTL design is realized on 45 nm CMOS technology consuming 4 mW power and 0.25 mm2 area, which outperforms the SoA platforms for embedded BCI systems. To further illustrate the outperformance of our design, the proposed architecture is implemented on Virtex-7 field program gate array as a prototyping platform consuming 6 μJ energy with 1.52% area utilization.

本文提出了一种高效、精确的基于运动图像的嵌入式脑机接口(MI-BCI),可满足可穿戴和实时应用的要求。考虑到硬件限制,为了达到合适的精度,我们探索了 BCI 传感器算法,其中无限脉冲响应(IIR)滤波器、常见空间模式和支持向量机分别用于数据预处理、特征提取和分类。通过硬件实现这些任务,我们的准确率达到了 77%。我们的系统采用寄存器传输层(RTL)设计,以 ASIC 实现为目标,与嵌入式生物识别(BCI)系统的最先进(SoA)架构相比,能显著降低功耗、延迟和面积。为此,我们使用分时和基于 RAM 的技术折叠 IIR 滤波器,并使用硬件友好型算法实现其他任务。RTL 设计在 45 nm CMOS 技术上实现,功耗为 4 mW,面积为 0.25 mm2,性能优于嵌入式 BCI 系统的 SoA 平台。为了进一步说明我们设计的优越性能,我们在 Virtex-7 现场编程门阵列原型平台上实现了所提出的架构,能耗为 6 μJ,面积利用率为 1.52%。
{"title":"An Efficient RTL Design for a Wearable Brain–Computer Interface","authors":"Tahereh Vasei,&nbsp;Mohammad Ali Saber,&nbsp;Alireza Nahvy,&nbsp;Zainalabedin Navabi","doi":"10.1049/2024/5596468","DOIUrl":"10.1049/2024/5596468","url":null,"abstract":"<div>\u0000 <p>This article proposes an efficient and accurate embedded motor imagery-based brain–computer interface (MI-BCI) that meets the requirements for wearable and real-time applications. To achieve a suitable accuracy considering hardware constraints, we explore BCI transducer algorithms, among which Infinite impulse response (IIR) filter, common spatial pattern, and support vector machine are used to <i>preprocess</i>, <i>extract features</i>, and <i>classify data</i>, respectively. With our hardware implementation of these tasks, we have achieved an accuracy of 77%. Our system is designed at register transfer level (RTL) targeting an ASIC implementation, which significantly decreases power consumption, latency, and area compared to the state-of-the-art (SoA) architectures for embedded BCI systems. To this end, we fold IIR filters using time-shared and RAM-based techniques and use hardware-friendly algorithms for the implementation of other tasks. The RTL design is realized on 45 nm CMOS technology consuming 4 mW power and 0.25 mm<sup>2</sup> area, which outperforms the SoA platforms for embedded BCI systems. To further illustrate the outperformance of our design, the proposed architecture is implemented on Virtex-7 field program gate array as a prototyping platform consuming 6 <i>μ</i>J energy with 1.52% area utilization.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2024-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/2024/5596468","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140257988","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Adaptive Shrink and Shard Architecture Design for Blockchain Storage Efficiency 提高区块链存储效率的自适应收缩和碎片架构设计
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2024-02-21 DOI: 10.1049/2024/2280828
Daniel Soesanto, Igi Ardiyanto, Teguh Bharata Adji

One of the problems in the blockchain is the formation of increasingly large data (big data) because each block must store all the transactions it makes. With the problem of the appearance of extensive data (big data), many studies aim to maintain the data in small amounts. This research combines a sorting data technique and a proper compression technique to obtain efficient data storage on the blockchain. The result of this research is a blockchain platform called Adaptive Shrink and Shard Blockchain (AS2BC), which conceptually and computationally can minimize the use of storage space in the blockchain up to 22 times smaller.

区块链的问题之一是形成越来越大的数据(大数据),因为每个区块必须存储它所进行的所有交易。面对大量数据(大数据)出现的问题,许多研究都以维护少量数据为目标。这项研究结合了数据分类技术和适当的压缩技术,以在区块链上获得高效的数据存储。这项研究的成果是一个名为 "自适应收缩和碎片区块链(AS2BC)"的区块链平台,从概念和计算上讲,它可以最大限度地减少区块链中存储空间的使用,最多可缩小 22 倍。
{"title":"Adaptive Shrink and Shard Architecture Design for Blockchain Storage Efficiency","authors":"Daniel Soesanto,&nbsp;Igi Ardiyanto,&nbsp;Teguh Bharata Adji","doi":"10.1049/2024/2280828","DOIUrl":"10.1049/2024/2280828","url":null,"abstract":"<div>\u0000 <p>One of the problems in the blockchain is the formation of increasingly large data (big data) because each block must store all the transactions it makes. With the problem of the appearance of extensive data (big data), many studies aim to maintain the data in small amounts. This research combines a sorting data technique and a proper compression technique to obtain efficient data storage on the blockchain. The result of this research is a blockchain platform called Adaptive Shrink and Shard Blockchain (AS<sup>2</sup>BC), which conceptually and computationally can minimize the use of storage space in the blockchain up to 22 times smaller.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2024-02-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/2024/2280828","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140443803","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Accelerated and Highly Correlated ASIC Synthesis of AI Hardware Subsystems Using CGP 使用 CGP 加速人工智能硬件子系统的高相关 ASIC 合成
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2024-01-29 DOI: 10.1049/2024/6623637
H. C. Prashanth, Madhav Rao

Unconventional functions, including activation functions and power functions, are extremely hard-to-realize primarily due to the difficulty in arriving at the hierarchical design. The hierarchical design allows the synthesis tool to map the functionality with that of standard cells employed through the regular ASIC synthesis flow. For conventional functions, the hierarchical design is structured and then supplied to the synthesis flow, whereas, for unconventional functions, the same method is not reliable, since the current synthesis method does not offer any design-space exploration scheme to arrive at an easy-to-realize design entity. The unconventional functions either take a long synthesis run-time or additional efforts are spent in restructuring the hierarchical design for the desired function to synthesizable ones. Cartesian genetic programing (CGP) allows to not only incorporate custom logic gates for synthesizing the hierarchical design but also aids in the design-space exploration for the targeted function through the custom gates. The CGP configuration evolves difficult-to-realize complex functions with multiple solutions, and filtering through desired Pareto-optimal requirements offers a unique hierarchical design. Incorporating CGP-derived hierarchical designs into the traditional synthesis flow is instrumental for implementing and evaluating higher-order designs comprising nonlinear functional constructs. Six activation functions and power functions that fall in the category of unconventional functions are realized by the CGP method using custom cells to demonstrate the capability. Further, the hierarchical design of these unconventional functions is flattened and compared with the same function that is directly synthesized using basic gates. The CGP-derived synthesis method reports 3× less synthesis time for realizing the complex functions at the hierarchical level compared to the synthesis using basic gate cells. Hardware characteristics and error metrics are also investigated for the CGP realized complex functions and are made freely available for further usage to the research and designers’ community.

包括激活函数和幂函数在内的非常规函数极难实现,这主要是由于难以实现分层设计。分层设计允许综合工具将功能与常规 ASIC 综合流程中使用的标准单元进行映射。对于常规功能,分层设计是结构化的,然后提供给综合流程,而对于非常规功能,同样的方法并不可靠,因为当前的综合方法并不提供任何设计空间探索方案来获得易于实现的设计实体。非常规功能要么需要很长的合成运行时间,要么需要花费额外的精力将所需功能的分层设计重组为可合成的功能。笛卡尔遗传编程(CGP)不仅可以在合成分层设计时加入定制逻辑门,还能通过定制门帮助探索目标功能的设计空间。CGP 配置可演化出具有多种解决方案的难以实现的复杂功能,并通过所需的帕累托最优要求进行筛选,从而提供独特的分层设计。将 CGP 衍生的分层设计纳入传统的合成流程,有助于实现和评估包含非线性功能结构的高阶设计。CGP 方法使用定制单元实现了属于非常规函数类别的六种激活函数和幂函数,以展示其能力。此外,这些非常规函数的分层设计被扁平化,并与使用基本门直接合成的相同函数进行了比较。与使用基本门单元的合成方法相比,CGP 衍生的合成方法在分层层次上实现复杂功能所需的合成时间减少了 3 倍。此外,还研究了 CGP 实现的复杂函数的硬件特性和误差指标,并免费提供给研究和设计人员社区进一步使用。
{"title":"Accelerated and Highly Correlated ASIC Synthesis of AI Hardware Subsystems Using CGP","authors":"H. C. Prashanth,&nbsp;Madhav Rao","doi":"10.1049/2024/6623637","DOIUrl":"10.1049/2024/6623637","url":null,"abstract":"<div>\u0000 <p>Unconventional functions, including activation functions and power functions, are extremely hard-to-realize primarily due to the difficulty in arriving at the hierarchical design. The hierarchical design allows the synthesis tool to map the functionality with that of standard cells employed through the regular ASIC synthesis flow. For conventional functions, the hierarchical design is structured and then supplied to the synthesis flow, whereas, for unconventional functions, the same method is not reliable, since the current synthesis method does not offer any design-space exploration scheme to arrive at an easy-to-realize design entity. The unconventional functions either take a long synthesis run-time or additional efforts are spent in restructuring the hierarchical design for the desired function to synthesizable ones. Cartesian genetic programing (CGP) allows to not only incorporate custom logic gates for synthesizing the hierarchical design but also aids in the design-space exploration for the targeted function through the custom gates. The CGP configuration evolves difficult-to-realize complex functions with multiple solutions, and filtering through desired Pareto-optimal requirements offers a unique hierarchical design. Incorporating CGP-derived hierarchical designs into the traditional synthesis flow is instrumental for implementing and evaluating higher-order designs comprising nonlinear functional constructs. Six activation functions and power functions that fall in the category of unconventional functions are realized by the CGP method using custom cells to demonstrate the capability. Further, the hierarchical design of these unconventional functions is flattened and compared with the same function that is directly synthesized using basic gates. The CGP-derived synthesis method reports 3× less synthesis time for realizing the complex functions at the hierarchical level compared to the synthesis using basic gate cells. Hardware characteristics and error metrics are also investigated for the CGP realized complex functions and are made freely available for further usage to the research and designers’ community.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2024-01-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/2024/6623637","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140486715","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Multi-objective digital circuit block optimisation based on cell mapping in an industrial electronic design automation flow 工业电子设计自动化流程中基于单元映射的多目标数字电路块优化
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2023-07-26 DOI: 10.1049/cdt2.12062
Linan Cao, Simon J. Bale, Martin A. Trefzer

Modern electronic design automation (EDA) tools can handle the complexity of state-of-the-art electronic systems by decomposing them into smaller blocks or cells, introducing different levels of abstraction and staged design flows. However, throughout each independently optimised design step, overheads and inefficiencies can accumulate in the resulting overall design. Performing design-specific optimisation from a more global viewpoint requires more time due to the larger search space but has the potential to provide solutions with improved performanc. In this work, a fully-automated, multi-objective (MO) EDA flow is introduced to address this issue. It specifically tunes drive strength mapping, prior to physical implementation, through MO population-based search algorithms. Designs are evaluated with respect to their power, performance and area (PPA). The proposed approach is aimed at digital circuit optimisation at the block level, where it is capable of expanding the design space and offers a set of trade-off solutions for different case-specific utilisation. We have applied the proposed multi-objective electronic design automation flow (MOEDA) framework to ISCAS-85 and EPFL benchmark circuits by using a commercial 65 nm standard cell library. The experimental results demonstrate how the MOEDA flow enhances the solutions initially generated by the standard digital flow and how simultaneously a significant improvement in PPA metrics is achieved.

现代电子设计自动化(EDA)工具可以通过将最先进的电子系统分解为更小的块或单元,引入不同级别的抽象和分阶段的设计流程来处理其复杂性。然而,在每个独立优化的设计步骤中,开销和低效率可能会累积在最终的整体设计中。由于搜索空间较大,从更全局的角度执行特定于设计的优化需要更多的时间,但有可能提供性能提高的解决方案。在这项工作中,引入了一个全自动、多目标(MO)EDA流程来解决这个问题。在物理实现之前,它通过MO基于人群的搜索算法专门调整驱动强度映射。根据功率、性能和面积(PPA)对设计进行评估。所提出的方法旨在块级的数字电路优化,在块级,它能够扩展设计空间,并为不同的具体情况使用提供一组权衡解决方案。我们使用商用65nm标准单元库,将所提出的多目标电子设计自动化流程(MOEDA)框架应用于ISCAS-85和EPFL基准电路。实验结果表明,MOEDA流如何增强最初由标准数字流生成的解决方案,以及如何同时实现PPA指标的显著改进。
{"title":"Multi-objective digital circuit block optimisation based on cell mapping in an industrial electronic design automation flow","authors":"Linan Cao,&nbsp;Simon J. Bale,&nbsp;Martin A. Trefzer","doi":"10.1049/cdt2.12062","DOIUrl":"https://doi.org/10.1049/cdt2.12062","url":null,"abstract":"<p>Modern electronic design automation (EDA) tools can handle the complexity of state-of-the-art electronic systems by decomposing them into smaller blocks or cells, introducing different levels of abstraction and staged design flows. However, throughout each independently optimised design step, overheads and inefficiencies can accumulate in the resulting overall design. Performing design-specific optimisation from a more global viewpoint requires more time due to the larger search space but has the potential to provide solutions with improved performanc. In this work, a fully-automated, multi-objective (MO) EDA flow is introduced to address this issue. It specifically tunes drive strength mapping, prior to physical implementation, through MO population-based search algorithms. Designs are evaluated with respect to their power, performance and area (PPA). The proposed approach is aimed at digital circuit optimisation at the block level, where it is capable of expanding the design space and offers a set of trade-off solutions for different case-specific utilisation. We have applied the proposed multi-objective electronic design automation flow (MOEDA) framework to ISCAS-85 and EPFL benchmark circuits by using a commercial 65 nm standard cell library. The experimental results demonstrate how the MOEDA flow enhances the solutions initially generated by the standard digital flow and how simultaneously a significant improvement in PPA metrics is achieved.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2023-07-26","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12062","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50144641","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design and analysis of a novel fast adder using logical effort method 一种新型逻辑努力法快速加法器的设计与分析
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2023-07-19 DOI: 10.1049/cdt2.12063
Hamid Tavakolaee, Gholamreza Ardeshir, Yasser Baleghi

Addition, as one of the fundamental math operations, is applied widely in Very-large-scale integration systems and digital signal processing, such that the computational speed of a system depends mainly on the computational speed of its adders. There are various types of digital adders based on different methods. A novel adder is proposed which performs addition based on a path with a fewer number of levels, and, hence, with higher computational speed and lower power consumption. The goal and innovation is to design a structured fast adder that has a block that can be expanded to higher bits, and in this design, the calculation speed and power consumption of the proposed circuit are optimal. Each proposed adder circuit has several levels, and the formulae of each level are stated. Each level of the circuit is designed with a number of multiplexers and OR gates. The performance of the proposed adder has been investigated and evaluated in two parts of mathematical calculations and simulation, and it has also been compared with other existing fast adders, such as ripple carry adder, carry skip adder, carry select adder, carry look ahead adder and prefix kogge-stone in cases of 8, 16, 32 and 64 bits. The results show that the proposed collector has a good performance compared to other adders-based power consumption, power delay product and delay area product metrics.

加法作为一种基本的数学运算,在超大规模积分系统和数字信号处理中得到了广泛的应用,因此系统的计算速度主要取决于加法器的计算速度。有基于不同方法的各种类型的数字加法器。提出了一种新的加法器,该加法器基于具有较少电平数量的路径执行加法,因此具有较高的计算速度和较低的功耗。目标和创新是设计一种结构化的快速加法器,该加法器具有可以扩展到更高位的块,在这种设计中,所提出的电路的计算速度和功耗是最优的。每个加法器电路都有几个电平,并给出了每个电平的计算公式。电路的每一级都设计有许多多路复用器和或门。从数学计算和仿真两个方面对所提出的加法器的性能进行了研究和评估,并与现有的其他快速加法器进行了比较,如纹波进位加法器、进位跳过加法器、进位选择加法器、进位先行加法器和前缀kogge-stone在8、16、32和64位情况下的性能。结果表明,与其他基于功耗、功率延迟乘积和延迟面积乘积的加法器相比,所提出的收集器具有良好的性能。
{"title":"Design and analysis of a novel fast adder using logical effort method","authors":"Hamid Tavakolaee,&nbsp;Gholamreza Ardeshir,&nbsp;Yasser Baleghi","doi":"10.1049/cdt2.12063","DOIUrl":"https://doi.org/10.1049/cdt2.12063","url":null,"abstract":"<p>Addition, as one of the fundamental math operations, is applied widely in Very-large-scale integration systems and digital signal processing, such that the computational speed of a system depends mainly on the computational speed of its adders. There are various types of digital adders based on different methods. A novel adder is proposed which performs addition based on a path with a fewer number of levels, and, hence, with higher computational speed and lower power consumption. The goal and innovation is to design a structured fast adder that has a block that can be expanded to higher bits, and in this design, the calculation speed and power consumption of the proposed circuit are optimal. Each proposed adder circuit has several levels, and the formulae of each level are stated. Each level of the circuit is designed with a number of multiplexers and OR gates. The performance of the proposed adder has been investigated and evaluated in two parts of mathematical calculations and simulation, and it has also been compared with other existing fast adders, such as ripple carry adder, carry skip adder, carry select adder, carry look ahead adder and prefix kogge-stone in cases of 8, 16, 32 and 64 bits. The results show that the proposed collector has a good performance compared to other adders-based power consumption, power delay product and delay area product metrics.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2023-07-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12063","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50137809","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reconstructing a lightweight security protocol in the radio-frequency identification systems 射频识别系统中轻量级安全协议的重构
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2023-07-14 DOI: 10.1049/cdt2.12064
Alireza Abdellahi Khorasgani, Mahdi Sajadieh, Mohammad Rouhollah Yazdani

Nowadays, the Internet of things (IoT) has extensively found its way into everyday life, raising the alarm regarding data security and user privacy. However, IoT devices have numerous limitations that inhibit the implementation of optimal cost-effective security solutions. In recent years, researchers have proposed a small number of RFID-based (radio-frequency identification) security solutions for the IoT. The use of RFID to secure IoT systems is growing rapidly, for it provides small-scale efficient security mechanisms. Due to the importance of privacy and security in IoT systems, Chuang and Tu have proposed a lightweight authentication protocol using XCor operation. The purpose is to investigate the security of the mentioned protocol and to show the problems of XCor operations used in this protocol. The authors reveal its vulnerability to various attacks, such as tag impersonation, reader impersonation and de−synchronisation attacks. To solve the problems of the Chuang protocol, a secure authentication protocol that uses the lightweight Plr operation is proposed. A formal security analysis of this protocol is performed based on the BAN (Burrows-Abadi-Needham) logic. Furthermore, a comparison was drawn between the proposed protocol and the existing similar protocols in terms of performance evaluation. The comparison will reveal that the proposed protocol is both lightweight and highly secure.

如今,物联网(IoT)已广泛进入日常生活,引发了人们对数据安全和用户隐私的担忧。然而,物联网设备有许多限制,阻碍了最佳成本效益安全解决方案的实施。近年来,研究人员为物联网提出了少量基于RFID的(射频识别)安全解决方案。RFID在物联网系统安全方面的应用正在迅速增长,因为它提供了小规模高效的安全机制。由于隐私和安全在物联网系统中的重要性,庄和屠提出了一种使用XCor操作的轻量级身份验证协议。目的是研究上述协议的安全性,并展示该协议中使用的XCor操作的问题。作者揭示了它容易受到各种攻击,如标签模拟、读取器模拟和不同步攻击。针对庄协议存在的问题,提出了一种采用轻量级Plr操作的安全认证协议。基于BAN(Burrows-Abadi-Nedham)逻辑对该协议进行了形式化的安全分析。此外,在性能评估方面,将所提出的协议与现有的类似协议进行了比较。比较将表明,所提出的协议是轻量级的和高度安全的。
{"title":"Reconstructing a lightweight security protocol in the radio-frequency identification systems","authors":"Alireza Abdellahi Khorasgani,&nbsp;Mahdi Sajadieh,&nbsp;Mohammad Rouhollah Yazdani","doi":"10.1049/cdt2.12064","DOIUrl":"https://doi.org/10.1049/cdt2.12064","url":null,"abstract":"<p>Nowadays, the Internet of things (IoT) has extensively found its way into everyday life, raising the alarm regarding data security and user privacy. However, IoT devices have numerous limitations that inhibit the implementation of optimal cost-effective security solutions. In recent years, researchers have proposed a small number of RFID-based (radio-frequency identification) security solutions for the IoT. The use of RFID to secure IoT systems is growing rapidly, for it provides small-scale efficient security mechanisms. Due to the importance of privacy and security in IoT systems, Chuang and Tu have proposed a lightweight authentication protocol using XCor operation. The purpose is to investigate the security of the mentioned protocol and to show the problems of XCor operations used in this protocol. The authors reveal its vulnerability to various attacks, such as tag impersonation, reader impersonation and de−synchronisation attacks. To solve the problems of the Chuang protocol, a secure authentication protocol that uses the lightweight Plr operation is proposed. A formal security analysis of this protocol is performed based on the BAN (Burrows-Abadi-Needham) logic. Furthermore, a comparison was drawn between the proposed protocol and the existing similar protocols in terms of performance evaluation. The comparison will reveal that the proposed protocol is both lightweight and highly secure.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2023-07-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12064","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50132670","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Research on mapping recognition of arc welding molten pool characterisation and penetration state based on embedded system 基于嵌入式系统的弧焊熔池特征及熔透状态映射识别研究
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2023-06-27 DOI: 10.1049/cdt2.12055
Yanjun Zhu, Zhisheng Wu, Cuirong Liu

In order to explore the mapping recognition of arc welding molten pool characterisation and penetration state, according to the idea of embedded system construction, this article adopts the idea of software and hardware co-design to find the zero-crossing point of the second derivative in welding image edge detection, and give a threshold. When the absolute value of the first-order derivative exceeds the threshold and has a different sign with the first-order derivative of the previous edge, it is judged as a valid edge. The welding current adopts a symmetrical pulsed AC square wave, and the proportion of heat flow input is high. At the base current, the arc light is darker, so a clear image is obtained. This article designs a simulation experiment to verify the effect of the embedded system in this article. From the experimental research, it can be known that the embedded system constructed in this article can play a certain role in the mapping recognition of the arc welding molten pool characterisation and penetration state.

为了探索弧焊熔池特征和熔透状态的映射识别,本文根据嵌入式系统构建的思想,采用软硬件协同设计的思想,在焊接图像边缘检测中找到二阶导数的过零点,并给出阈值。当一阶导数的绝对值超过阈值并且与前一边缘的一阶导数具有不同的符号时,它被判断为有效边缘。焊接电流采用对称脉冲交流方波,热流输入比例高。在基极电流下,弧光较暗,因此可以获得清晰的图像。本文设计了一个仿真实验来验证本文中嵌入式系统的效果。通过实验研究可知,本文构建的嵌入式系统可以在弧焊熔池特征和熔透状态的映射识别中发挥一定作用。
{"title":"Research on mapping recognition of arc welding molten pool characterisation and penetration state based on embedded system","authors":"Yanjun Zhu,&nbsp;Zhisheng Wu,&nbsp;Cuirong Liu","doi":"10.1049/cdt2.12055","DOIUrl":"https://doi.org/10.1049/cdt2.12055","url":null,"abstract":"<p>In order to explore the mapping recognition of arc welding molten pool characterisation and penetration state, according to the idea of embedded system construction, this article adopts the idea of software and hardware co-design to find the zero-crossing point of the second derivative in welding image edge detection, and give a threshold. When the absolute value of the first-order derivative exceeds the threshold and has a different sign with the first-order derivative of the previous edge, it is judged as a valid edge. The welding current adopts a symmetrical pulsed AC square wave, and the proportion of heat flow input is high. At the base current, the arc light is darker, so a clear image is obtained. This article designs a simulation experiment to verify the effect of the embedded system in this article. From the experimental research, it can be known that the embedded system constructed in this article can play a certain role in the mapping recognition of the arc welding molten pool characterisation and penetration state.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2023-06-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12055","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50145571","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IET Computers and Digital Techniques
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1