首页 > 最新文献

IET Computers and Digital Techniques最新文献

英文 中文
An optimized knight traversal technique to detect multiple faults and Module Sequence Graph based reconfiguration of microfluidic biochip 基于优化骑士遍历技术的多故障检测及模块序列图的微流控生物芯片重构
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-12-16 DOI: 10.1049/cdt2.12004
Basudev Saha, Mukta Majumder

Conventional biomedical analysers are replaced by digital microfluidic biochips and they are adequate to integrate different biomedical functions, essential for diverse bioassay operations. From the last decade, microfluidic biochips are getting plenty of acceptances in the field of miscellaneous healthcare sectors like DNA analysis, drug discovery and clinical diagnosis. These devices are also bearing a vital role in the area of safety critical applications such as food safety testing, air quality monitoring etc. As these devices are used in safety critical applications, clinical diagnosis and real-time biomolecular assay operations, these must have properties like precision, reliability and robustness. To accept it for discriminating purposes, the microfluidic device must endorse its preciseness and strength by following sublime testing strategy. Here, an optimized droplet traversal technique is proposed to investigate the multiple defective electrodes of a digital microfluidic biochip by embedding boundary cum row traversal and KNIGHT traversal procedure (based on the famous Knight Tour Problem). The proposed approach also enumerates the traversal time for a fault-free biochip. In addition to identifying the faulty electrodes, a Module Sequencing Graph based reconfiguration technique is proposed here to reinstate the device for normal bioassay operation.

传统的生物医学分析仪被数字微流控生物芯片所取代,它们足以集成不同的生物医学功能,对于不同的生物分析操作至关重要。近十年来,微流控生物芯片在DNA分析、药物研发和临床诊断等医疗保健领域得到了广泛的应用。这些设备在食品安全检测、空气质量监测等安全关键应用领域也发挥着至关重要的作用。由于这些设备用于安全关键应用,临床诊断和实时生物分子分析操作,这些设备必须具有精度,可靠性和鲁棒性等特性。微流控装置必须遵循崇高的测试策略,以保证其准确性和强度,才能接受它的鉴别目的。本文提出了一种优化的液滴遍历技术,通过嵌入边界行遍历和KNIGHT遍历程序(基于著名的KNIGHT Tour问题)来研究数字微流控生物芯片的多个缺陷电极。该方法还列举了无故障生物芯片的遍历时间。除了识别故障电极外,本文还提出了一种基于模块测序图的重构技术,以恢复设备的正常生物测定操作。
{"title":"An optimized knight traversal technique to detect multiple faults and Module Sequence Graph based reconfiguration of microfluidic biochip","authors":"Basudev Saha,&nbsp;Mukta Majumder","doi":"10.1049/cdt2.12004","DOIUrl":"10.1049/cdt2.12004","url":null,"abstract":"<p>Conventional biomedical analysers are replaced by digital microfluidic biochips and they are adequate to integrate different biomedical functions, essential for diverse bioassay operations. From the last decade, microfluidic biochips are getting plenty of acceptances in the field of miscellaneous healthcare sectors like DNA analysis, drug discovery and clinical diagnosis. These devices are also bearing a vital role in the area of safety critical applications such as food safety testing, air quality monitoring etc. As these devices are used in safety critical applications, clinical diagnosis and real-time biomolecular assay operations, these must have properties like precision, reliability and robustness. To accept it for discriminating purposes, the microfluidic device must endorse its preciseness and strength by following sublime testing strategy. Here, an optimized droplet traversal technique is proposed to investigate the multiple defective electrodes of a digital microfluidic biochip by embedding boundary cum row traversal and KNIGHT traversal procedure (based on the famous Knight Tour Problem). The proposed approach also enumerates the traversal time for a fault-free biochip. In addition to identifying the faulty electrodes, a Module Sequencing Graph based reconfiguration technique is proposed here to reinstate the device for normal bioassay operation.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-12-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12004","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72622469","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A radix-8 modulo 2n multiplier using area and power-optimized hard multiple generator 采用面积和功率优化的硬倍频发生器的基数8模2n乘法器
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-12-13 DOI: 10.1049/cdt2.12001
Naveen Kr. Kabra, Zuber M. Patel

The moduli 2n multiplier plays a vital role in the design of a residue number system processor. When the radix-8 booth-encoded technique is adopted to design this kind of multipliers, the hard multiple generator is crucial in terms of area, power, and delay. This paper presents an area and power optimization technique for this kind of generators and its implementation in modulo 2n multiplier to improve the performance. The proposed hard multiplier generator (HMG) uses only ⌈log2n⌉-2 prefix levels and total prefix operators. The synthesis of the proposed architectures is done using the Cadence tool at Generic Process design Kit-45 nm technology. The post-synthesis result of HMG shows 20.27%–36.57%, 2.43%–18.41% saving in area and power, respectively, while the post-layout result of HMG shows 20.01%–35.26% and 1.33%–29.44% saving in area and power, respectively. The post-layout result of modulo 2nmultiplier using optimized HMG shows 7.88%–10.04%, 7.87%–12.50%, 3.09%–11.29%, and 3.11%–8.79% saving in area, power, switching energy and Area delay product, respectively.

模2n乘法器在余数系统处理器的设计中起着至关重要的作用。当采用基数-8位编码技术设计这类乘法器时,硬倍频发生器在面积、功率和时延方面都是至关重要的。本文提出了一种用于该类发电机的面积和功率优化技术,并将其应用于模2n乘法器中以提高其性能。所提出的硬乘数生成器(HMG)只使用了≤log2n≤2的前缀级别和总前缀操作符。所提出的架构的综合是使用通用工艺设计套件-45纳米技术的Cadence工具完成的。HMG合成后的面积和功耗分别节省20.27% ~ 36.57%、2.43% ~ 18.41%,HMG布局后的面积和功耗分别节省20.01% ~ 35.26%、1.33% ~ 29.44%。优化后的HMG模2倍法器布局结果显示,面积、功耗、开关能量和面积延迟积分别节省7.88% ~ 10.04%、7.87% ~ 12.50%、3.09% ~ 11.29%和3.11% ~ 8.79%。
{"title":"A radix-8 modulo 2n multiplier using area and power-optimized hard multiple generator","authors":"Naveen Kr. Kabra,&nbsp;Zuber M. Patel","doi":"10.1049/cdt2.12001","DOIUrl":"10.1049/cdt2.12001","url":null,"abstract":"<p>The moduli 2<sup><i>n</i></sup> multiplier plays a vital role in the design of a residue number system processor. When the radix-8 booth-encoded technique is adopted to design this kind of multipliers, the hard multiple generator is crucial in terms of area, power, and delay. This paper presents an area and power optimization technique for this kind of generators and its implementation in modulo 2<sup><i>n</i></sup> multiplier to improve the performance. The proposed hard multiplier generator (HMG) uses only ⌈<i>log</i><sub>2</sub><i>n</i>⌉-2 prefix levels and total prefix operators. The synthesis of the proposed architectures is done using the Cadence tool at Generic Process design Kit-45 nm technology. The post-synthesis result of HMG shows 20.27%–36.57%, 2.43%–18.41% saving in area and power, respectively, while the post-layout result of HMG shows 20.01%–35.26% and 1.33%–29.44% saving in area and power, respectively. The post-layout result of modulo 2<sup><i>n</i></sup>multiplier using optimized HMG shows 7.88%–10.04%, 7.87%–12.50%, 3.09%–11.29%, and 3.11%–8.79% saving in area, power, switching energy and Area delay product, respectively.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-12-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12001","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78496049","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Fragmented software-based self-test technique for online intermittent fault detection in processors 基于碎片化软件的处理器间歇故障在线自检技术
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-12-13 DOI: 10.1049/cdt2.12003
Vasudevan Matampu Suryasarman, Santosh Biswas, Aryabartta Sahu

Software-based self-test (SBST) method is one of the widely used test techniques in processors. SBST scheme provides high fault coverage but incurs long detection latencies in case of intermittent faults (IFs) in online testing mode, due to large size and longer execution time of the test codes. A study of fragmented SBST testing approaches is conducted to select the most efficient fragmented testing strategy. For the selected fragmented SBST method, a reliable set of SBST code fragments with minimal fault detection latency is determined. However, it incurs inconsiderable overall fault coverage drop, compared to the coverage of the complete SBST test code. From experimental results on MIPS Processor, a set of 20 fragments of test tasks with 80% individual fault coverage was observed to have the highest reliability of all sets of fragments. A larger test task (i.e. complete SBST test code) with 96.3% coverage and a test period of 8 ms was replaced by these 20 fragments, which provided an overall coverage of 96% with an individual test period of 0.4 ms, to detect the same set of IFs.

基于软件的自测试(SBST)方法是处理器中广泛使用的测试技术之一。SBST方案提供了高的故障覆盖率,但在线测试模式下,由于测试代码规模大,执行时间长,当出现间歇性故障时,检测延迟较长。对碎片化的SBST测试方法进行了研究,以选择最有效的碎片化测试策略。对于选择的分段SBST方法,确定了一组可靠的、故障检测延迟最小的SBST代码片段。然而,与完整的SBST测试代码的覆盖率相比,它导致的总体故障覆盖率下降是微不足道的。从MIPS处理器上的实验结果来看,一组由20个片段组成的测试任务,单个故障覆盖率为80%,在所有片段中具有最高的可靠性。这20个片段代替了覆盖率为96.3%、测试周期为8 ms的更大的测试任务(即完整的SBST测试代码),提供了96%的总体覆盖率,单个测试周期为0.4 ms,以检测同一组if。
{"title":"Fragmented software-based self-test technique for online intermittent fault detection in processors","authors":"Vasudevan Matampu Suryasarman,&nbsp;Santosh Biswas,&nbsp;Aryabartta Sahu","doi":"10.1049/cdt2.12003","DOIUrl":"10.1049/cdt2.12003","url":null,"abstract":"<p>Software-based self-test (SBST) method is one of the widely used test techniques in processors. SBST scheme provides high fault coverage but incurs long detection latencies in case of intermittent faults (IFs) in online testing mode, due to large size and longer execution time of the test codes. A study of fragmented SBST testing approaches is conducted to select the most efficient fragmented testing strategy. For the selected fragmented SBST method, a reliable set of SBST code fragments with minimal fault detection latency is determined. However, it incurs inconsiderable overall fault coverage drop, compared to the coverage of the complete SBST test code. From experimental results on MIPS Processor, a set of 20 fragments of test tasks with 80% individual fault coverage was observed to have the highest reliability of all sets of fragments. A larger test task (i.e. complete SBST test code) with 96.3% coverage and a test period of 8 ms was replaced by these 20 fragments, which provided an overall coverage of 96% with an individual test period of 0.4 ms, to detect the same set of IFs.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-12-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12003","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89737149","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Efficient design of 15:4 counter using a novel 5:3 counter for high-speed multiplication 高效设计15:4计数器,采用新颖的5:3计数器实现高速乘法
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-12-09 DOI: 10.1049/cdt2.12002
Hemanth Krishna L., Neeharika M., Vishvanath Janjirala, Sreehari Veeramachaneni, Noor Mahammad S

This paper proposes an efficient approach to design high-speed, accurate multipliers. The proposed multiplier design uses the proposed efficient 15:4 counter for the partial product reduction stage. This proposed 15:4 counter is designed using a novel 5:3 counter. The proposed 5:3 counter uses input re-ordering circuitry at the input side. As a result, the number of output combinations can be reduced to 18 from 32. As a result, the circuit complexity reduces. The proposed 5:3 counter and 15:4 counter are on an average 28% and 19% improvement in the power delay product compared with the existing designs. The 16-bit multiplier designed using 5:3 and 15:4 counters is an average 22.5% improvement in power delay product compared with the existing designs.

本文提出了一种设计高速、精确乘法器的有效方法。建议的乘数设计使用建议的高效15:4计数器进行部分乘积缩减阶段。这个提议的15:4计数器是使用一个新颖的5:3计数器设计的。提议的5:3计数器在输入端使用输入重新排序电路。因此,输出组合的数量可以从32个减少到18个。因此,降低了电路的复杂度。所提出的5:3计数器和15:4计数器与现有设计相比,功率延迟产品平均提高28%和19%。采用5:3和15:4计数器设计的16位乘法器与现有设计相比,功率延迟产品平均提高22.5%。
{"title":"Efficient design of 15:4 counter using a novel 5:3 counter for high-speed multiplication","authors":"Hemanth Krishna L.,&nbsp;Neeharika M.,&nbsp;Vishvanath Janjirala,&nbsp;Sreehari Veeramachaneni,&nbsp;Noor Mahammad S","doi":"10.1049/cdt2.12002","DOIUrl":"10.1049/cdt2.12002","url":null,"abstract":"<p>This paper proposes an efficient approach to design high-speed, accurate multipliers. The proposed multiplier design uses the proposed efficient 15:4 counter for the partial product reduction stage. This proposed 15:4 counter is designed using a novel 5:3 counter. The proposed 5:3 counter uses input re-ordering circuitry at the input side. As a result, the number of output combinations can be reduced to 18 from 32. As a result, the circuit complexity reduces. The proposed 5:3 counter and 15:4 counter are on an average 28% and 19% improvement in the power delay product compared with the existing designs. The 16-bit multiplier designed using 5:3 and 15:4 counters is an average 22.5% improvement in power delay product compared with the existing designs.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-12-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12002","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"42216498","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Power efficient error correction coding for on-chip interconnection links 片上互连链路的功率高效纠错编码
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-10-01 DOI: 10.1049/iet-cdt.2019.0082
Sumitra Velayudham, Sivakumar Rajagopal, Yeragudipati Venkata Ramana Rao, Seok-Bum Ko

A configurable self-calibrated power efficient five-bit error correction code is proposed to correct both single bit random and burst errors up to five bits; providing 100% error correction probability with crosstalk avoidance. It can also correct higher-order error up to 9 bits with an error correction probability tolerance of 73% for on-chip interconnection links. Single error correction and double error detection with extended Hamming code (22,16) is utilised along with standard triplication error correction methods in the proposed code. Self-calibration algorithm and data stream rerouting block are integrated into the error correction code to achieve power efficiency. Reliability, link power consumption, and link swing voltage are estimated using an analytical model used in a network-on-chip. Area, power, and delay of the codec are obtained using Synopsys tools utilising UMC 90 nm technology. The proposed method provides 32–73% power saving and 22.3–60.6% delay reduction with negligible area overhead compared with the state-of-the-art works. Estimated results prove that it provides a 40.5–50% reduction in link swing voltage and link power consumption compared with the state-of-the-art works. The proposed code is more appropriate for on-chip interconnect links where it provides high reliability and low swing voltage with high error correction capability compared with existing codes.

提出了一种可配置的自校准功率有效的五比特纠错码,用于校正高达五比特的单比特随机误差和突发误差;在避免串扰的情况下提供100%的纠错概率。它还可以校正高达9位的高阶错误,片上互连链路的纠错概率容限为73%。在所提出的代码中,使用了具有扩展汉明码(22,16)的单错误校正和双错误检测以及标准的三倍错误校正方法。将自校准算法和数据流重路由块集成到纠错码中,以实现功率效率。使用片上网络中使用的分析模型来估计可靠性、链路功耗和链路摆动电压。编解码器的面积、功率和延迟是使用Synopsys工具使用UMC90nm技术获得的。与最先进的工程相比,所提出的方法节省了32-73%的功率,减少了22.3-60.6%的延迟,面积开销可以忽略不计。估计结果证明,与最先进的工作相比,它在链路摆动电压和链路功耗方面降低了40.5–50%。与现有代码相比,所提出的代码更适合于片上互连链路,其中它提供了高可靠性和低摆动电压以及高纠错能力。
{"title":"Power efficient error correction coding for on-chip interconnection links","authors":"Sumitra Velayudham,&nbsp;Sivakumar Rajagopal,&nbsp;Yeragudipati Venkata Ramana Rao,&nbsp;Seok-Bum Ko","doi":"10.1049/iet-cdt.2019.0082","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0082","url":null,"abstract":"<div>\u0000 <p>A configurable self-calibrated power efficient five-bit error correction code is proposed to correct both single bit random and burst errors up to five bits; providing 100% error correction probability with crosstalk avoidance. It can also correct higher-order error up to 9 bits with an error correction probability tolerance of 73% for on-chip interconnection links. Single error correction and double error detection with extended Hamming code (22,16) is utilised along with standard triplication error correction methods in the proposed code. Self-calibration algorithm and data stream rerouting block are integrated into the error correction code to achieve power efficiency. Reliability, link power consumption, and link swing voltage are estimated using an analytical model used in a network-on-chip. Area, power, and delay of the codec are obtained using Synopsys tools utilising UMC 90 nm technology. The proposed method provides 32–73% power saving and 22.3–60.6% delay reduction with negligible area overhead compared with the state-of-the-art works. Estimated results prove that it provides a 40.5–50% reduction in link swing voltage and link power consumption compared with the state-of-the-art works. The proposed code is more appropriate for on-chip interconnect links where it provides high reliability and low swing voltage with high error correction capability compared with existing codes.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/iet-cdt.2019.0082","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72137097","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Ten years of hardware Trojans: a survey from the attacker's perspective 硬件木马的十年:从攻击者的角度进行的调查
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-09-30 DOI: 10.1049/iet-cdt.2020.0041
Mingfu Xue, Chongyan Gu, Weiqiang Liu, Shichao Yu, Máire O'Neill

Hardware Trojan detection techniques have been studied extensively. However, to develop reliable and effective defenses, it is important to figure out how hardware Trojans are implemented in practical scenarios. The authors attempt to make a review of the hardware Trojan design and implementations in the last decade and also provide an outlook. Unlike all previous surveys that discuss Trojans from the defender's perspective, for the first time, the authors study the Trojans from the attacker's perspective, focusing on the attacker's methods, capabilities, and challenges when the attacker designs and implements a hardware Trojan. First, the authors present adversarial models in terms of the adversary's methods, adversary's capabilities, and adversary's challenges in seven practical hardware Trojan implementation scenarios: in-house design team attacks, third-party intellectual property vendor attacks, computer-aided design tools attacks, fabrication stage attacks, testing stage attacks, distribution stage attacks, and field-programmable gate array Trojan attacks. Second, the authors analyse the hardware Trojan implementation methods under each adversarial model in terms of seven aspects/metrics: hardware Trojan attack scenarios, the attacker's motivation, feasibility, detectability (anti-detection capability), protection and prevention suggestions for the designer, overhead analysis, and case studies of Trojan implementations. Finally, future directions on hardware Trojan attacks and defenses are also discussed.

硬件特洛伊木马检测技术已被广泛研究。然而,要开发可靠有效的防御系统,重要的是要弄清楚硬件特洛伊木马是如何在实际场景中实现的。作者试图对近十年来硬件特洛伊木马的设计和实现进行回顾,并对其进行展望。与之前所有从防御者角度讨论特洛伊木马的调查不同,作者首次从攻击者的角度研究特洛伊木马,重点关注攻击者在设计和实现硬件特洛伊木马时的方法、能力和挑战。首先,作者在七个实际的硬件特洛伊木马实现场景中,从对手的方法、对手的能力和对手的挑战方面提出了对抗性模型:内部设计团队攻击、第三方知识产权供应商攻击、计算机辅助设计工具攻击、制造阶段攻击、测试阶段攻击、分发阶段攻击,以及现场可编程门阵列特洛伊木马攻击。其次,作者从硬件特洛伊木马攻击场景、攻击者的动机、可行性、可检测性(抗检测能力)、设计者的保护和预防建议、开销分析和特洛伊木马实施案例研究七个方面/指标分析了每种对抗性模型下的硬件特洛伊木马实施方法。最后,还讨论了硬件特洛伊木马攻击和防御的未来方向。
{"title":"Ten years of hardware Trojans: a survey from the attacker's perspective","authors":"Mingfu Xue,&nbsp;Chongyan Gu,&nbsp;Weiqiang Liu,&nbsp;Shichao Yu,&nbsp;Máire O'Neill","doi":"10.1049/iet-cdt.2020.0041","DOIUrl":"https://doi.org/10.1049/iet-cdt.2020.0041","url":null,"abstract":"<div>\u0000 <p>Hardware Trojan detection techniques have been studied extensively. However, to develop reliable and effective defenses, it is important to figure out how hardware Trojans are implemented in practical scenarios. The authors attempt to make a review of the hardware Trojan design and implementations in the last decade and also provide an outlook. Unlike all previous surveys that discuss Trojans from the defender's perspective, for the first time, the authors study the Trojans from the attacker's perspective, focusing on the attacker's methods, capabilities, and challenges when the attacker designs and implements a hardware Trojan. First, the authors present adversarial models in terms of the adversary's methods, adversary's capabilities, and adversary's challenges in seven practical hardware Trojan implementation scenarios: in-house design team attacks, third-party intellectual property vendor attacks, computer-aided design tools attacks, fabrication stage attacks, testing stage attacks, distribution stage attacks, and field-programmable gate array Trojan attacks. Second, the authors analyse the hardware Trojan implementation methods under each adversarial model in terms of seven aspects/metrics: hardware Trojan attack scenarios, the attacker's motivation, feasibility, detectability (anti-detection capability), protection and prevention suggestions for the designer, overhead analysis, and case studies of Trojan implementations. Finally, future directions on hardware Trojan attacks and defenses are also discussed.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2020.0041","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71987479","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Network-on-chip heuristic mapping algorithm based on isomorphism elimination for NoC optimisation 基于同构消去的片上网络启发式映射NoC优化算法
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-09-29 DOI: 10.1049/iet-cdt.2019.0212
Weng Xiaodong, Liu Yi, Yang Yintang

With the development of network-on-chip (NoC) theory, lots of mapping algorithm have been proposed to solve the application mapping problem which is an NP-hard (non-polynomial hard) problem. Most algorithms are based on a heuristic algorithm. They are trapped by iterations limited, not by the distance between iterations, because of the isomorphism of mapping sequence. In this study, the authors define and analyse the isomorphism with the genetic algorithm (GA) which is a heuristic algorithm. Then, they proposed an approach called density direction transform algorithm to eliminate the isomorphism of mapping sequence and accelerate the convergence of population. To verify this approach, they developed a density-direction-based genetic mapping algorithm (DDGMAP) and make a comparison with genetic mapping algorithm (GMA). The experiment demonstrates that compared to the random algorithm, their algorithm (DDGMAP) can achieve on an average 23.48% delay reduction and 7.15% power reduction. And DDGMAP gets better performance than GA in searching the optimal solution.

随着片上网络理论的发展,人们提出了许多映射算法来解决应用映射问题,这是一个NP难(非多项式难)问题。大多数算法都是基于启发式算法。由于映射序列的同构性,它们被迭代限制,而不是被迭代之间的距离所限制。在这项研究中,作者定义和分析同构的遗传算法(GA),这是一个启发式算法。然后,他们提出了一种称为密度方向变换算法的方法来消除映射序列的同构性,加速种群的收敛。为了验证这种方法,他们开发了一种基于密度方向的遗传映射算法(DDGMAP),并与遗传映射算法进行了比较。实验表明,与随机算法相比,它们的算法(DDGMAP)平均可以实现23.48%的延迟减少和7.15%的功耗减少。DDGMAP在搜索最优解方面比GA具有更好的性能。
{"title":"Network-on-chip heuristic mapping algorithm based on isomorphism elimination for NoC optimisation","authors":"Weng Xiaodong,&nbsp;Liu Yi,&nbsp;Yang Yintang","doi":"10.1049/iet-cdt.2019.0212","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0212","url":null,"abstract":"<div>\u0000 <p>With the development of network-on-chip (NoC) theory, lots of mapping algorithm have been proposed to solve the application mapping problem which is an NP-hard (non-polynomial hard) problem. Most algorithms are based on a heuristic algorithm. They are trapped by iterations limited, not by the distance between iterations, because of the isomorphism of mapping sequence. In this study, the authors define and analyse the isomorphism with the genetic algorithm (GA) which is a heuristic algorithm. Then, they proposed an approach called density direction transform algorithm to eliminate the isomorphism of mapping sequence and accelerate the convergence of population. To verify this approach, they developed a density-direction-based genetic mapping algorithm (DDGMAP) and make a comparison with genetic mapping algorithm (GMA). The experiment demonstrates that compared to the random algorithm, their algorithm (DDGMAP) can achieve on an average 23.48% delay reduction and 7.15% power reduction. And DDGMAP gets better performance than GA in searching the optimal solution.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-09-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/iet-cdt.2019.0212","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71986614","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Technique for two-dimensional nearest neighbour realisation of quantum circuits using weighted look-ahead 基于加权前瞻的量子电路二维近邻实现技术
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-09-18 DOI: 10.1049/iet-cdt.2019.0257
Lalengmawia Chhangte, Alok Chakrabarty

Quantum computers that are based on technologies like superconducting and quantum dots impose a physical constraint that requires interacting qubits to be adjacent. The initial placement of qubits and the swap gate insertion techniques affect the circuit cost. The authors proposed a global qubit ordering technique that considers fewer permutations for the number of interactions a qubit does with other qubits of its circuit. They also performed the local re-ordering of qubits by attempting to reduce the cost as much as possible; the cost is estimated by defining a window with weights assigned in such a way that nearby gates to the current gate in question are given higher weightage. Experiments have been conducted on NCV benchmarks, and results have been compared with those of recent state-of-the-art techniques. When compared with the existing works, the proposed method shows improvements of up to 53.3% for smaller benchmarks and up to 51.61% for larger benchmarks.

基于超导和量子点等技术的量子计算机施加了一种物理约束,要求相互作用的量子位相邻。量子位的初始位置和交换门插入技术影响电路成本。作者提出了一种全局量子位排序技术,该技术考虑了量子位与其电路中其他量子位相互作用次数的较少排列。他们还通过尝试尽可能降低成本来执行量子位的局部重新排序;通过定义具有权重的窗口来估计成本,所述权重以这样的方式分配,使得到所讨论的当前门的附近门被赋予更高的权重。在新冠病毒基准上进行了实验,并将结果与最近最先进的技术进行了比较。与现有工程相比,较小基准点和较大基准点的拟议方法分别提高了53.3%和51.61%。
{"title":"Technique for two-dimensional nearest neighbour realisation of quantum circuits using weighted look-ahead","authors":"Lalengmawia Chhangte,&nbsp;Alok Chakrabarty","doi":"10.1049/iet-cdt.2019.0257","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0257","url":null,"abstract":"<div>\u0000 <p>Quantum computers that are based on technologies like superconducting and quantum dots impose a physical constraint that requires interacting qubits to be adjacent. The initial placement of qubits and the swap gate insertion techniques affect the circuit cost. The authors proposed a global qubit ordering technique that considers fewer permutations for the number of interactions a qubit does with other qubits of its circuit. They also performed the local re-ordering of qubits by attempting to reduce the cost as much as possible; the cost is estimated by defining a window with weights assigned in such a way that nearby gates to the current gate in question are given higher weightage. Experiments have been conducted on NCV benchmarks, and results have been compared with those of recent state-of-the-art techniques. When compared with the existing works, the proposed method shows improvements of up to 53.3% for smaller benchmarks and up to 51.61% for larger benchmarks.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-09-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/iet-cdt.2019.0257","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71984675","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Real-time speech enhancement using optimised empirical mode decomposition and non-local means estimation 基于优化经验模式分解和非局部均值估计的实时语音增强
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-09-18 DOI: 10.1049/iet-cdt.2020.0034
Sagar Reddy Vumanthala, Bikshalu Kalagadda

In this study, the authors present a novel speech enhancement method by exploring the benefits of non-local means (NLM) estimation and optimised empirical mode decomposition (OEMD) adopting cubic-spline interpolation. The optimal parameters responsible for improving the performance are estimated using the path-finder algorithm. At first, the noisy speech signal is decomposed into many scaled signals called intrinsic-mode functions (IMFs) through the use of a temporary decomposition method is called sifting process in OEMD approach. The obtained IMFs are processed by NLM estimation technique in terms of non-local similarities present in each IMF, to reduce the ill-effects caused by interfering noise. The proposed NLM-based method is effective to eliminate the noise of less-frequency. Each IMF contains essential information about the signals, on some scale or frequency band. Field programmable gate array architecture is implemented on a Xilinx ISE 14.5 and the result of the proposed method offers good performance with a high signal-to-noise ratio (SNR) and low mean-square error compared to other approaches. The performance evolution is carried out for different speech signals taken from the TIMIT database and noises taken from the NOISEX-92 database in different SNR stages of 0, 5 and 10 dB, respectively.

在这项研究中,作者通过探索采用三次样条插值的非局部均值(NLM)估计和优化经验模式分解(OEMD)的优点,提出了一种新的语音增强方法。使用路径查找器算法来估计负责提高性能的最佳参数。首先,通过使用一种称为OEMD方法中的筛选过程的临时分解方法,将噪声语音信号分解为许多称为本征模式函数(IMF)的缩放信号。根据每个IMF中存在的非局部相似性,通过NLM估计技术对所获得的IMF进行处理,以减少干扰噪声引起的不良影响。所提出的基于NLM的方法能够有效地消除频率较低的噪声。每个国际货币基金组织都包含关于信号的基本信息,在一定的范围或频带上。现场可编程门阵列结构在Xilinx ISE 14.5上实现,与其他方法相比,该方法具有高信噪比和低均方误差的良好性能。分别在0、5和10dB的不同SNR级中,对取自TIMIT数据库的不同语音信号和取自NOISEX-92数据库的噪声进行性能演化。
{"title":"Real-time speech enhancement using optimised empirical mode decomposition and non-local means estimation","authors":"Sagar Reddy Vumanthala,&nbsp;Bikshalu Kalagadda","doi":"10.1049/iet-cdt.2020.0034","DOIUrl":"https://doi.org/10.1049/iet-cdt.2020.0034","url":null,"abstract":"<div>\u0000 <p>In this study, the authors present a novel speech enhancement method by exploring the benefits of non-local means (NLM) estimation and optimised empirical mode decomposition (OEMD) adopting cubic-spline interpolation. The optimal parameters responsible for improving the performance are estimated using the path-finder algorithm. At first, the noisy speech signal is decomposed into many scaled signals called intrinsic-mode functions (IMFs) through the use of a temporary decomposition method is called sifting process in OEMD approach. The obtained IMFs are processed by NLM estimation technique in terms of non-local similarities present in each IMF, to reduce the ill-effects caused by interfering noise. The proposed NLM-based method is effective to eliminate the noise of less-frequency. Each IMF contains essential information about the signals, on some scale or frequency band. Field programmable gate array architecture is implemented on a Xilinx ISE 14.5 and the result of the proposed method offers good performance with a high signal-to-noise ratio (SNR) and low mean-square error compared to other approaches. The performance evolution is carried out for different speech signals taken from the TIMIT database and noises taken from the NOISEX-92 database in different SNR stages of 0, 5 and 10 dB, respectively.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-09-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/iet-cdt.2020.0034","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71984674","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Rectilinear routing algorithm for crosstalk minimisation in 2D and 3D IC 用于最小化2D和3D IC串扰的直线布线算法
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-09-18 DOI: 10.1049/iet-cdt.2020.0010
Khokan Mondal, Subhajit Das, Tuhina Samanta

The coupling capacitance and inductance of 2D and 3D integrated circuit (IC) interconnects in deep sub-micron technology has been increased due to reduced coupling distance in such a way that their magnitudes become comparable to the area and fringing capacitance of an interconnect. This leads to an increasing risk of failure due to unintentional noise and a need for accurate noise assessment. Incorrect noise estimation could either result in defects in circuit design if the design resources are understated or it will end up with a waste of overestimation resources. In this study, a crosstalk noise model for coupled RLC on-chip interconnects has been demonstrated. Subsequently, a novel time-efficient method is proposed to estimate and optimise the crosstalk noise precisely. The proposed method calculates coupling noise as well as optimises crosstalk noise, which has been validated using SPICE. Besides the estimation of crosstalk noise for 2D interconnect, this study also estimates the crosstalk noise for through-silicon-via (TSV), which is used to connect different dies vertically in a 3D IC. Under high-frequency operation, effects of signal rise time, TSV structure (height of the TSV), substrate resistivity and the guarding TSV termination on crosstalk noise have also been studied in this work.

深亚微米技术中的2D和3D集成电路(IC)互连的耦合电容和电感由于耦合距离的减小而增加,使得它们的大小变得与互连的面积和边缘电容相当。这导致由于无意噪声而导致的故障风险增加,并且需要准确的噪声评估。如果设计资源被低估,不正确的噪声估计可能会导致电路设计中的缺陷,或者最终会浪费过高的估计资源。在本研究中,已经证明了耦合RLC片上互连的串扰噪声模型。随后,提出了一种新的时间有效方法来精确估计和优化串扰噪声。所提出的方法计算耦合噪声并优化串扰噪声,这已经使用SPICE进行了验证。除了估计2D互连的串扰噪声外,本研究还估计了用于在3D IC中垂直连接不同管芯的硅通孔(TSV)的串扰噪声。在高频工作条件下,还研究了信号上升时间、TSV结构(TSV高度)、衬底电阻率和保护TSV终端对串扰噪声的影响。
{"title":"Rectilinear routing algorithm for crosstalk minimisation in 2D and 3D IC","authors":"Khokan Mondal,&nbsp;Subhajit Das,&nbsp;Tuhina Samanta","doi":"10.1049/iet-cdt.2020.0010","DOIUrl":"https://doi.org/10.1049/iet-cdt.2020.0010","url":null,"abstract":"<div>\u0000 <p>The coupling capacitance and inductance of 2D and 3D integrated circuit (IC) interconnects in deep sub-micron technology has been increased due to reduced coupling distance in such a way that their magnitudes become comparable to the area and fringing capacitance of an interconnect. This leads to an increasing risk of failure due to unintentional noise and a need for accurate noise assessment. Incorrect noise estimation could either result in defects in circuit design if the design resources are understated or it will end up with a waste of overestimation resources. In this study, a crosstalk noise model for coupled RLC on-chip interconnects has been demonstrated. Subsequently, a novel time-efficient method is proposed to estimate and optimise the crosstalk noise precisely. The proposed method calculates coupling noise as well as optimises crosstalk noise, which has been validated using SPICE. Besides the estimation of crosstalk noise for 2D interconnect, this study also estimates the crosstalk noise for through-silicon-via (TSV), which is used to connect different dies vertically in a 3D IC. Under high-frequency operation, effects of signal rise time, TSV structure (height of the TSV), substrate resistivity and the guarding TSV termination on crosstalk noise have also been studied in this work.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-09-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2020.0010","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71984669","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IET Computers and Digital Techniques
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1