首页 > 最新文献

IET Computers and Digital Techniques最新文献

英文 中文
FPGA-based implementation of floating point processing element for the design of efficient FIR filters 基于fpga实现浮点处理元件,用于设计高效FIR滤波器
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-03-22 DOI: 10.1049/cdt2.12010
Tintu Mary John, Shanty Chacko

Numerous applications based on very large scale intergration (VLSI) architecture suffer from large size components that lead to an error in the design of the filter during the stages of floating point arithmetic. Hence, it is necessary to change the architectural model that increases the design complexity and the time delay effect. The issue encountered in the VLSI architectures for finite impulse response (FIR) filter is the increased number of components, especially delay elements. For the VLSI architecture reconfigured with reduced register usage, this article provides the floating point processing element (FPPE) implementation with Cross-Folded Shifting. The proposed FIR filter system reduces the number of components in the circuit which increases the complexity and high delay rate in the logical operation. The system has a comparatively reduced delay rate and power consumption. Hence, an efficient fast architecture based on the FPPE method is developed in this paper.

许多基于超大规模集成电路(VLSI)架构的应用都面临着元件尺寸过大的问题,导致浮点运算阶段的滤波器设计出现错误。因此,必须改变结构模型,这增加了设计的复杂性和时间延迟效应。有限脉冲响应(FIR)滤波器在VLSI架构中遇到的问题是元件数量的增加,特别是延迟元件。对于重新配置了寄存器使用量减少的VLSI架构,本文提供了具有交叉折叠移位的浮点处理元件(FPPE)实现。所提出的FIR滤波器系统减少了电路中元件的数量,但增加了逻辑运算的复杂性和高延迟率。该系统具有较低的延迟率和功耗。因此,本文提出了一种基于FPPE方法的高效快速体系结构。
{"title":"FPGA-based implementation of floating point processing element for the design of efficient FIR filters","authors":"Tintu Mary John,&nbsp;Shanty Chacko","doi":"10.1049/cdt2.12010","DOIUrl":"10.1049/cdt2.12010","url":null,"abstract":"<p>Numerous applications based on very large scale intergration (VLSI) architecture suffer from large size components that lead to an error in the design of the filter during the stages of floating point arithmetic. Hence, it is necessary to change the architectural model that increases the design complexity and the time delay effect. The issue encountered in the VLSI architectures for finite impulse response (FIR) filter is the increased number of components, especially delay elements. For the VLSI architecture reconfigured with reduced register usage, this article provides the floating point processing element (FPPE) implementation with Cross-Folded Shifting. The proposed FIR filter system reduces the number of components in the circuit which increases the complexity and high delay rate in the logical operation. The system has a comparatively reduced delay rate and power consumption. Hence, an efficient fast architecture based on the FPPE method is developed in this paper.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12010","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83302759","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
New scan compression approach to reduce the test data volume 新的扫描压缩方法,减少测试数据量
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-03-18 DOI: 10.1049/cdt2.12020
Pralhadrao V. Shantagiri, Rohit Kapur, Chandrasekar Shastry

The test data volume (TDV) increases with increased target compression in scan compression and adds to the test cost. Increased TDV is the result of a dependency across scan flip-flops (SFFs) that resulted from compression architecture, which is absent in scan mode. The SFFs have uncompressible values logic-0 and logic-1 in many or most of the patterns contribute to the TDV. In the proposed new scan compression (NSC) architecture, SFFs are analysed from Automatic Test Pattern Generation (ATPG) patterns generated in a scan mode. The identification of SFFs to be moved out of the compression architecture is carried out based on the NSC. The method includes a ranking of SFFs based on the specified values present in the test patterns. The SFFs having higher specified values are moved out of the compression architecture and placed in the outside scan chain. The NSC is the combination of scan compression and scan mode. This method decides the percentage (%) of SFFs to be moved out of compression architecture and is less than 0.5% of the total SFFs present in the design to achieve a better result. The NSC reduces dependencies across the SFFs present in the test compression architecture. It reduces the TDV and test application time. The results show a significant reduction in the TDV up to 78.14% for the same test coverage.

在扫描压缩中,随着目标压缩的增加,测试数据量(TDV)也随之增加,从而增加了测试成本。增加的TDV是扫描触发器(sff)依赖的结果,这是由压缩架构导致的,在扫描模式中不存在。在许多或大多数构成TDV的模式中,sff具有不可压缩值logic-0和logic-1。在新提出的扫描压缩(NSC)架构中,从扫描模式生成的自动测试模式生成(ATPG)模式分析sff。要移出压缩体系结构的sff的识别是基于NSC进行的。该方法包括基于测试模式中存在的指定值的sff排序。具有较高指定值的sff被移出压缩体系结构并放置在外部扫描链中。NSC是扫描压缩和扫描模式的结合。该方法决定了从压缩架构中移出的sff的百分比(%),并且小于设计中存在的总sff的0.5%,以获得更好的结果。NSC减少了测试压缩体系结构中sff之间的依赖关系。它减少了TDV和测试应用时间。结果表明,对于相同的测试覆盖率,TDV的显著降低高达78.14%。
{"title":"New scan compression approach to reduce the test data volume","authors":"Pralhadrao V. Shantagiri,&nbsp;Rohit Kapur,&nbsp;Chandrasekar Shastry","doi":"10.1049/cdt2.12020","DOIUrl":"10.1049/cdt2.12020","url":null,"abstract":"<p>The test data volume (TDV) increases with increased target compression in scan compression and adds to the test cost. Increased TDV is the result of a dependency across scan flip-flops (SFFs) that resulted from compression architecture, which is absent in scan mode. The SFFs have uncompressible values logic-0 and logic-1 in many or most of the patterns contribute to the TDV. In the proposed new scan compression (NSC) architecture, SFFs are analysed from Automatic Test Pattern Generation (ATPG) patterns generated in a scan mode. The identification of SFFs to be moved out of the compression architecture is carried out based on the NSC. The method includes a ranking of SFFs based on the specified values present in the test patterns. The SFFs having higher specified values are moved out of the compression architecture and placed in the outside scan chain. The NSC is the combination of scan compression and scan mode. This method decides the percentage (%) of SFFs to be moved out of compression architecture and is less than 0.5% of the total SFFs present in the design to achieve a better result. The NSC reduces dependencies across the SFFs present in the test compression architecture. It reduces the TDV and test application time. The results show a significant reduction in the TDV up to 78.14% for the same test coverage.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12020","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88164538","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A novel task scheduling approach for dependent non-preemptive tasks using fuzzy logic 一种基于模糊逻辑的非抢占任务调度方法
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-03-15 DOI: 10.1049/cdt2.12018
Heba E. Hassan, Gihan Nagib, Khaled Hosny Ibrahiem

Multiprocessor task scheduling problem is a pressing problem that affects systems' performance and is still being investigated by the researchers. Finding the optimal schedules is considered to be a computationally hard problem. Recently, researchers have used fuzzy logic in the field of task scheduling to achieve optimal performance, but this area of research is still not well investigated. In addition, there are various scheduling algorithms that used fuzzy logic but most of them are often performed on uniprocessor systems. This article presents a new proposed algorithm in which the priorities of the tasks are derived from the fuzzy logic and bottom level parameter. This approach is designed to find task schedules with optimal or sub-optimal lengths in order to achieve high performance for a multiprocessor environment. With respect to the proposed algorithm, the precedence constraints between the non-preemptive tasks and their execution times are known and described by a directed acyclic graph. The number of processors is fixed, the communication costs are negligible and the processors are homogeneous. The suggested technique is tested and compared with the Prototype Standard Task Graph Set.

多处理器任务调度问题是一个影响系统性能的紧迫问题,目前仍在研究中。寻找最优调度被认为是一个计算困难的问题。近年来,研究者们将模糊逻辑应用于任务调度领域,以实现任务调度的最优性能,但这一领域的研究还不够深入。此外,有各种使用模糊逻辑的调度算法,但大多数算法通常在单处理器系统上执行。本文提出了一种基于模糊逻辑和底层参数确定任务优先级的新算法。这种方法旨在找到具有最优或次优长度的任务调度,以便在多处理器环境中实现高性能。在该算法中,非抢占任务的优先级约束和执行时间是已知的,并用有向无环图来描述。处理器的数量是固定的,通信成本可以忽略不计,并且处理器是同质的。并与原型标准任务图集进行了测试和比较。
{"title":"A novel task scheduling approach for dependent non-preemptive tasks using fuzzy logic","authors":"Heba E. Hassan,&nbsp;Gihan Nagib,&nbsp;Khaled Hosny Ibrahiem","doi":"10.1049/cdt2.12018","DOIUrl":"10.1049/cdt2.12018","url":null,"abstract":"<p>Multiprocessor task scheduling problem is a pressing problem that affects systems' performance and is still being investigated by the researchers. Finding the optimal schedules is considered to be a computationally hard problem. Recently, researchers have used fuzzy logic in the field of task scheduling to achieve optimal performance, but this area of research is still not well investigated. In addition, there are various scheduling algorithms that used fuzzy logic but most of them are often performed on uniprocessor systems. This article presents a new proposed algorithm in which the priorities of the tasks are derived from the fuzzy logic and bottom level parameter. This approach is designed to find task schedules with optimal or sub-optimal lengths in order to achieve high performance for a multiprocessor environment. With respect to the proposed algorithm, the precedence constraints between the non-preemptive tasks and their execution times are known and described by a directed acyclic graph. The number of processors is fixed, the communication costs are negligible and the processors are homogeneous. The suggested technique is tested and compared with the Prototype Standard Task Graph Set.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12018","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82794869","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Flexible and high-throughput structures of Camellia block cipher for security of the Internet of Things 灵活、高吞吐量的山茶花分组密码结构,保障物联网安全
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-03-12 DOI: 10.1049/cdt2.12025
Bahram Rashidi

The advancements in wireless communication have created exponential growth in the Internet of Things (IoT) systems. Security and privacy of the IoT systems are critical challenges in many data-sensitive applications. Herein, high-throughput and flexible hardware implementations of the Camellia block cipher for IoT applications are presented. In the proposed structures, sub-blocks of the ciphers are implemented based on optimised circuits. The proposed structures for Camellia are designed and shared for implementing the encryption process and generating some intermediate key values in the two separate times. The most complex block in these ciphers is the substitution box (S-box). The S-boxes are implemented based on area-optimised logic circuits. The Camellia S-boxes consist of a field inversion over F28 and two affine transformations over F2. The inversion operation is implemented over the composite field F(24)2 instead of an inversion over F28

无线通信的进步创造了物联网(IoT)系统的指数级增长。在许多数据敏感型应用中,物联网系统的安全性和隐私性是关键挑战。本文提出了用于物联网应用的Camellia分组密码的高吞吐量和灵活的硬件实现。在所提出的结构中,密码的子块是基于优化电路实现的。所提出的Camellia结构被设计和共享,用于实现加密过程并在两个不同的时间生成一些中间密钥值。这些密码中最复杂的块是替换盒(S-box)。s盒是基于面积优化逻辑电路实现的。Camellia s -box由f28上的场反演和F上的两个仿射变换组成2 .反演运算在复合场F(2)上实现4) 2而不是F的反转这是减少面积消耗的重要因素。在结构中,大量的门由2输入NAND和2输入NOR门来实现,以减少延迟和面积。此外,还提出了Camellia的灵活结构,可以对该密码进行各种配置,以支持128、192和256位的可变密钥大小。在180 nm CMOS技术上,针对不同的密钥尺寸,获得了所提出架构的实现结果。结果显示,与其他相关工作相比,在执行时间、吞吐量和吞吐量/面积方面有所改进。
{"title":"Flexible and high-throughput structures of Camellia block cipher for security of the Internet of Things","authors":"Bahram Rashidi","doi":"10.1049/cdt2.12025","DOIUrl":"10.1049/cdt2.12025","url":null,"abstract":"<p>The advancements in wireless communication have created exponential growth in the Internet of Things (IoT) systems. Security and privacy of the IoT systems are critical challenges in many data-sensitive applications. Herein, high-throughput and flexible hardware implementations of the Camellia block cipher for IoT applications are presented. In the proposed structures, sub-blocks of the ciphers are implemented based on optimised circuits. The proposed structures for Camellia are designed and shared for implementing the encryption process and generating some intermediate key values in the two separate times. The most complex block in these ciphers is the substitution box (S-box). The S-boxes are implemented based on area-optimised logic circuits. The Camellia S-boxes consist of a field inversion over <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>8</mn>\u0000 </mrow>\u0000 </msup>\u0000 </mrow>\u0000 </msub></math> and two affine transformations over <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 </msub></math>. The inversion operation is implemented over the composite field <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mrow>\u0000 <mo>(</mo>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>4</mn>\u0000 </mrow>\u0000 </msup>\u0000 </mrow>\u0000 <mo>)</mo>\u0000 </mrow>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 </msup>\u0000 </mrow>\u0000 </msub></math> instead of an inversion over <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>8</mn>\u0000 </mrow>\u0000 ","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12025","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77123108","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Low-power fast Fourier transform hardware architecture combining a split-radix butterfly and efficient adder compressors 低功耗快速傅立叶变换硬件架构,结合了分裂基蝴蝶和高效加法器压缩器
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-03-11 DOI: 10.1049/cdt2.12015
Guilherme Ferreira, Guilherme Paim, Leandro M. G. Rocha, Gustavo M. Santana, Renato H. Neuenfeld, Eduardo A. C. Costa, Sergio Bampi

Fast Fourier transform (FFT) is the most common low-complexity implementation of the discrete Fourier transform, intensively employed to process real-world signals in smart sensors for the internet of things. Butterflies play a central role as the FFT computing core data path since it calculates complex terms employing several multipliers. A low-power FFT hardware architecture combining split-radix decimation-in-time butterfly and 5-2 adder compressors (ACs) is proposed and implemented. The circuits are described in Verilog hardware description language and synthesized using the Cadence Genus synthesis tool. The circuits are mapped onto a 65-nm CMOS ST standard cell library. Results reveal that the proposed FFT hardware architecture using the split-radix butterfly is 13.28% more power efficient than the radix-4 one. The results further show that, by combining 5-2 AC within the split-radix butterfly, our proposal saves up to 43.1% of the total power dissipation considering the whole FFT hardware architecture, compared with the state-of-the-art radix-4 butterfly employing the adder automatically selected by the logic synthesis tool.

快速傅里叶变换(FFT)是离散傅里叶变换中最常见的低复杂度实现,广泛用于处理物联网智能传感器中的现实世界信号。蝴蝶在FFT计算核心数据路径中扮演着核心角色,因为它使用多个乘数来计算复杂的项。提出并实现了一种低功耗FFT硬件架构,该架构结合了分基数实时抽取蝶和5-2加法器压缩机(ac)。电路用Verilog硬件描述语言描述,并使用Cadence Genus合成工具进行合成。电路被映射到65纳米CMOS ST标准单元库。结果表明,采用分割基数蝶的FFT硬件架构比采用分割基数蝶的FFT硬件架构节能13.28%。结果进一步表明,与采用逻辑合成工具自动选择加法器的最先进的基数-4蝴蝶相比,通过在分裂基数蝴蝶中结合5-2交流电,我们的提议节省了43.1%的总功耗。
{"title":"Low-power fast Fourier transform hardware architecture combining a split-radix butterfly and efficient adder compressors","authors":"Guilherme Ferreira,&nbsp;Guilherme Paim,&nbsp;Leandro M. G. Rocha,&nbsp;Gustavo M. Santana,&nbsp;Renato H. Neuenfeld,&nbsp;Eduardo A. C. Costa,&nbsp;Sergio Bampi","doi":"10.1049/cdt2.12015","DOIUrl":"10.1049/cdt2.12015","url":null,"abstract":"<p>Fast Fourier transform (FFT) is the most common low-complexity implementation of the discrete Fourier transform, intensively employed to process real-world signals in smart sensors for the internet of things. Butterflies play a central role as the FFT computing core data path since it calculates complex terms employing several multipliers. A low-power FFT hardware architecture combining split-radix decimation-in-time butterfly and 5-2 adder compressors (ACs) is proposed and implemented. The circuits are described in Verilog hardware description language and synthesized using the Cadence Genus synthesis tool. The circuits are mapped onto a 65-nm CMOS ST standard cell library. Results reveal that the proposed FFT hardware architecture using the split-radix butterfly is 13.28% more power efficient than the radix-4 one. The results further show that, by combining 5-2 AC within the split-radix butterfly, our proposal saves up to 43.1% of the total power dissipation considering the whole FFT hardware architecture, compared with the state-of-the-art radix-4 butterfly employing the adder automatically selected by the logic synthesis tool.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12015","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76324659","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A novel FPGA-Based Bi input-reduced order extended Kalman filter for speed-sensorless direct torque control of induction motor with constant switching frequency controller 一种新型的基于fpga的扩展卡尔曼滤波器用于恒开关频率异步电动机无速度传感器直接转矩控制
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-03-10 DOI: 10.1049/cdt2.12011
Remzi Inan

This study proposes an FPGA-based hardware in the loop (HIL) emulator for speed-sensorless of induction motor (IM) constant switching frequency controller-based direct torque control (CSFC-DTC) with a novel bi input-reduced order extended Kalman filter (BI-ROEKF). The full precision single floating point numbers in the IEEE 754 standard are used during the implementation of the HIL emulator which contains closed-loop speed-sensorless drive system of IM on the Xilinx Virtex XC5VLX-110T ML506 FPGA board. In this HIL emulator of speed-sensorless IM drive system, stator stationary axis components of stator flux, rotor mechanical angular speed, load torque, stator and rotor resistances are estimated with the novel BI-ROEKF which is proposed for the first time in the literature. The proposed BI-ROEKF is created by applying two different non-linear and linear system input functions obtained from two different IM models to the single reduced order extended Kalman filter (ROEKF) algorithm. Thus, the order and the computational burden of the EKF are reduced. The HIL emulator of the speed-sensorless drive system of IM is implemented on FPGA using the advantage of hand-written VHDL on getting an optimal logical design to reduce the sampling time which directly effects the estimation performance of the model-based estimator like the novel BI-ROEKF and hence the control performance of drive system. The estimation performance of the novel BI-ROEKF is tested with speed-sensorless CSFC-DTC IM drive system under different challenging scenarios in HIL emulator. Thus, the control and the implementation performances of digitalised emulator are tested. Finally, the estimation and control performance results and the execution time of the each part of the proposed HIL emulator of the speed-sensorless BI-ROEKF-based CSFC-DTC of the IM are presented.

本研究提出了一种基于fpga的环内硬件(HIL)仿真器,用于异步电动机(IM)无速度传感器的基于恒开关频率控制器的直接转矩控制(CSFC-DTC),并采用了一种新颖的双输入降阶扩展卡尔曼滤波器(bi - roekf)。在Xilinx Virtex XC5VLX-110T ML506 FPGA板上实现包含IM闭环无速度传感器驱动系统的HIL仿真器时,采用了IEEE 754标准中的全精度单浮点数。在此无速度传感器IM驱动系统的HIL仿真中,利用文献中首次提出的新颖BI-ROEKF估计定子磁链、转子机械角速度、负载转矩、定子和转子电阻等定子静止轴分量。提出的BI-ROEKF是将两种不同IM模型的非线性和线性系统输入函数应用到单降阶扩展卡尔曼滤波(ROEKF)算法中。从而降低了EKF的阶数和计算量。在FPGA上实现了IM无速度传感器驱动系统的HIL仿真,利用手写VHDL的优势,得到了最优的逻辑设计,从而减少了采样时间,而采样时间直接影响到新型BI-ROEKF等基于模型的估计器的估计性能,进而影响到驱动系统的控制性能。利用无速度传感器CSFC-DTC IM驱动系统,在HIL仿真器中测试了新型BI-ROEKF在不同挑战性场景下的估计性能。从而测试了数字化仿真器的控制性能和实现性能。最后给出了所设计的基于bi - roekf的无速度传感器CSFC-DTC HIL仿真器的估计和控制性能结果以及各部分的执行时间。
{"title":"A novel FPGA-Based Bi input-reduced order extended Kalman filter for speed-sensorless direct torque control of induction motor with constant switching frequency controller","authors":"Remzi Inan","doi":"10.1049/cdt2.12011","DOIUrl":"10.1049/cdt2.12011","url":null,"abstract":"<p>This study proposes an FPGA-based hardware in the loop (HIL) emulator for speed-sensorless of induction motor (IM) constant switching frequency controller-based direct torque control (CSFC-DTC) with a novel bi input-reduced order extended Kalman filter (BI-ROEKF). The full precision single floating point numbers in the IEEE 754 standard are used during the implementation of the HIL emulator which contains closed-loop speed-sensorless drive system of IM on the Xilinx Virtex XC5VLX-110T ML506 FPGA board. In this HIL emulator of speed-sensorless IM drive system, stator stationary axis components of stator flux, rotor mechanical angular speed, load torque, stator and rotor resistances are estimated with the novel BI-ROEKF which is proposed for the first time in the literature. The proposed BI-ROEKF is created by applying two different non-linear and linear system input functions obtained from two different IM models to the single reduced order extended Kalman filter (ROEKF) algorithm. Thus, the order and the computational burden of the EKF are reduced. The HIL emulator of the speed-sensorless drive system of IM is implemented on FPGA using the advantage of hand-written VHDL on getting an optimal logical design to reduce the sampling time which directly effects the estimation performance of the model-based estimator like the novel BI-ROEKF and hence the control performance of drive system. The estimation performance of the novel BI-ROEKF is tested with speed-sensorless CSFC-DTC IM drive system under different challenging scenarios in HIL emulator. Thus, the control and the implementation performances of digitalised emulator are tested. Finally, the estimation and control performance results and the execution time of the each part of the proposed HIL emulator of the speed-sensorless BI-ROEKF-based CSFC-DTC of the IM are presented.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12011","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88381546","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Low-space bit-serial systolic array architecture for interleaved multiplication over GF(2m) GF(2m)上交错乘法的低空间位串行收缩阵列结构
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-03-10 DOI: 10.1049/cdt2.12026
Atef Ibrahim

This article offers a new bit-serial systolic array architecture to implement the interleaved multiplication algorithm in the binary-extended field. The exhibited multiplier structure is more proper for VLSI implementation as it has regular cell structures as well as local communication wires between the cells. The ASIC implementation results of the suggested bit-serial multiplier structure and the existing competitive bit-serial multiplier structures previously described in the literature indicate that the recommended design achieves a notable reduction in area and significant improvement of area-time complexities by at least 28.4% and 35.7%, respectively. Therefore, it is more proper for cryptographic applications forcing more restrictions on the space.

本文提出了一种新的位串行收缩数组结构来实现二进制扩展域中的交错乘法算法。所展示的乘法器结构更适合VLSI的实现,因为它具有规则的单元结构以及单元之间的本地通信线路。建议的位串行乘法器结构和先前文献中描述的现有竞争性位串行乘法器结构的ASIC实现结果表明,推荐的设计分别显著减少了面积和显著提高了面积-时间复杂度,分别至少减少了28.4%和35.7%。因此,它更适合于对空间施加更多限制的加密应用程序。
{"title":"Low-space bit-serial systolic array architecture for interleaved multiplication over GF(2m)","authors":"Atef Ibrahim","doi":"10.1049/cdt2.12026","DOIUrl":"10.1049/cdt2.12026","url":null,"abstract":"<p>This article offers a new bit-serial systolic array architecture to implement the interleaved multiplication algorithm in the binary-extended field. The exhibited multiplier structure is more proper for VLSI implementation as it has regular cell structures as well as local communication wires between the cells. The ASIC implementation results of the suggested bit-serial multiplier structure and the existing competitive bit-serial multiplier structures previously described in the literature indicate that the recommended design achieves a notable reduction in area and significant improvement of area-time complexities by at least 28.4% and 35.7%, respectively. Therefore, it is more proper for cryptographic applications forcing more restrictions on the space.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12026","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74100686","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Reliable SRAM using NAND-NOR Gate in beyond-CMOS QCA technology 可靠的SRAM使用NAND-NOR门在超越cmos QCA技术
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-02-28 DOI: 10.1049/cdt2.12012
Marshal Raj, Lakshminarayanan Gopalakrishnan, Seok-Bum Ko

The rise in complementary metal-oxide semiconductor (CMOS) limitations has urged the industry to shift its focus towards beyond-CMOS technologies to stay in race with Moore’s law. Quantum-dot cellular automata (QCA) is considered to be a prominent paradigm among the emerging beyond-CMOS technologies. Since QCA is an emerging technology with no proper layout tools, layout generation from hardware description language (HDL) can be done by implementing circuits using the NAND-NOR logic. In QCA, the NAND-NOR logic is realised by combining a majority gate and an inverter or by using some dedicated structures. The Radius of Effect (RoE) is a critical factor that depends on the permittivity of the material used and it has an influence on the columbic interaction, polarisation and kink energy. Lower Radius of Effect values will have an impact on the performance of the circuit. In this work, a cost-efficient NAND-NOR gate using Single Rotated Cell (SRC) inverter is proposed which can operate with lower Radius of Effect. Using the proposed gate, multiplexer, decoder, and innovative memory cell are implemented. In order to demonstrate the ability to implement larger circuits using NAND-NOR logic and the proposed blocks, a 16*16 SRAM is implemented. QCADesigner is used for the simulation and validation of the proposed designs.

互补金属氧化物半导体(CMOS)限制的增加促使业界将重点转向CMOS以外的技术,以保持与摩尔定律的竞争。量子点元胞自动机(QCA)被认为是新兴的超cmos技术中的一个突出范例。由于QCA是一项新兴技术,没有适当的布局工具,因此可以通过使用NAND-NOR逻辑实现电路来实现硬件描述语言(HDL)的布局生成。在QCA中,NAND-NOR逻辑通过组合多数门和逆变器或使用一些专用结构来实现。效应半径(RoE)是一个关键因素,它取决于所使用材料的介电常数,它对柱相互作用、极化和扭结能量有影响。较低的影响半径值将对电路的性能产生影响。本文提出了一种低成本的单旋转单元(SRC)逆变器NAND-NOR门,可以在较小的影响半径下工作。利用所提出的门,实现了多路复用器、解码器和创新的存储单元。为了演示使用NAND-NOR逻辑和所提出的模块实现更大电路的能力,实现了一个16*16 SRAM。使用qcaddesigner对提出的设计进行仿真和验证。
{"title":"Reliable SRAM using NAND-NOR Gate in beyond-CMOS QCA technology","authors":"Marshal Raj,&nbsp;Lakshminarayanan Gopalakrishnan,&nbsp;Seok-Bum Ko","doi":"10.1049/cdt2.12012","DOIUrl":"10.1049/cdt2.12012","url":null,"abstract":"<p>The rise in complementary metal-oxide semiconductor (CMOS) limitations has urged the industry to shift its focus towards beyond-CMOS technologies to stay in race with Moore’s law. Quantum-dot cellular automata (QCA) is considered to be a prominent paradigm among the emerging beyond-CMOS technologies. Since QCA is an emerging technology with no proper layout tools, layout generation from hardware description language (HDL) can be done by implementing circuits using the NAND-NOR logic. In QCA, the NAND-NOR logic is realised by combining a majority gate and an inverter or by using some dedicated structures. The Radius of Effect (RoE) is a critical factor that depends on the permittivity of the material used and it has an influence on the columbic interaction, polarisation and kink energy. Lower Radius of Effect values will have an impact on the performance of the circuit. In this work, a cost-efficient NAND-NOR gate using Single Rotated Cell (SRC) inverter is proposed which can operate with lower Radius of Effect. Using the proposed gate, multiplexer, decoder, and innovative memory cell are implemented. In order to demonstrate the ability to implement larger circuits using NAND-NOR logic and the proposed blocks, a 16*16 SRAM is implemented. QCADesigner is used for the simulation and validation of the proposed designs.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-02-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12012","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74024452","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Corrigendum: Throughput/area optimised pipelined architecture for elliptic curve crypto processor 更正:用于椭圆曲线加密处理器的吞吐量/面积优化的流水线架构
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2021-01-11 DOI: 10.1049/cdt2.12008
Muhammad Rashid

In [1], the following corrections should be noted.

The work in this article is funded by National Science Technology, Innovative Plan (NSTIP), Saudi Arabia (14-ELE1049-10). The authors acknowledge the support of King Abdul-Aziz City for Science and Technology (KACST) and Science and Technology Unit (STU), Makkah.

在[1]中,应注意以下更正。本文由沙特阿拉伯国家科学技术创新计划(NSTIP)资助(14-ELE1049-10)。作者感谢阿卜杜勒-阿齐兹国王科学技术城(KACST)和麦加科学技术组(STU)的支持。
{"title":"Corrigendum: Throughput/area optimised pipelined architecture for elliptic curve crypto processor","authors":"Muhammad Rashid","doi":"10.1049/cdt2.12008","DOIUrl":"10.1049/cdt2.12008","url":null,"abstract":"<p>In [<span>1</span>], the following corrections should be noted.</p><p>The work in this article is funded by National Science Technology, Innovative Plan (NSTIP), Saudi Arabia (14-ELE1049-10). The authors acknowledge the support of King Abdul-Aziz City for Science and Technology (KACST) and Science and Technology Unit (STU), Makkah.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-01-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12008","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73150693","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Recycled integrated circuit detection using reliability analysis and machine learning algorithms 回收集成电路检测使用可靠性分析和机器学习算法
IF 1.2 4区 计算机科学 Q3 Engineering Pub Date : 2020-12-16 DOI: 10.1049/cdt2.12005
Udaya Shankar Santhana Krishnan, Kalpana Palanisamy

The use of counterfeit integrated circuits (ICs) in electronic products decreases its quality and lifetime. Recycled ICs can be detected by the method of aging analysis. Aging is carried out through reliability analysis with the effect of hot carrier injection and bias temperature instability (BTI). In this work, three machine learning methods, namely K-means clustering, back propagation neural network (BPNN) and support vector machines (SVMs), are used to detect the recycled IC aged for a shorter period (1 day) with minimum data size. This work also distinguishes the effects of degradation due to process variations and reliability effects. The reliability and Monte Carlo simulation are performed on benchmark circuits such as c17, s27, b02 and fully differential folded-cascode amplifier using the Cadence Virtuoso tool, and the parameters such as minimum voltage, delay value, supply current, gain, phase margin and bandwidth are measured. Machine learning methods are developed using MATLAB to train and classify the parameters. From the results obtained, it is observed that the classification rate for the benchmark circuits is 100%, and using BPNN, K-means clustering and SVM and the proposed method, recycled IC or used IC is detected even if it was used for 1 day.

在电子产品中使用假冒集成电路(ic)会降低其质量和使用寿命。回收的集成电路可以用老化分析的方法进行检测。在热载流子注入和偏置温度不稳定性(BTI)的影响下,通过可靠性分析进行老化。本文采用k均值聚类、反向传播神经网络(BPNN)和支持向量机(svm)三种机器学习方法,以最小的数据量检测周期较短(1天)的回收IC。这项工作还区分了由于工艺变化和可靠性影响而导致的退化的影响。利用Cadence Virtuoso工具对c17、s27、b02和全差分折叠级串放大器等基准电路进行了可靠性和蒙特卡罗仿真,并测量了最小电压、延迟值、电源电流、增益、相位裕度和带宽等参数。利用MATLAB开发了机器学习方法对参数进行训练和分类。从得到的结果可以看出,基准电路的分类率为100%,并且使用BPNN、K-means聚类和SVM以及所提出的方法,即使使用了1天,也可以检测到回收IC或使用过的IC。
{"title":"Recycled integrated circuit detection using reliability analysis and machine learning algorithms","authors":"Udaya Shankar Santhana Krishnan,&nbsp;Kalpana Palanisamy","doi":"10.1049/cdt2.12005","DOIUrl":"10.1049/cdt2.12005","url":null,"abstract":"<p>The use of counterfeit integrated circuits (ICs) in electronic products decreases its quality and lifetime. Recycled ICs can be detected by the method of aging analysis. Aging is carried out through reliability analysis with the effect of hot carrier injection and bias temperature instability (BTI). In this work, three machine learning methods, namely K-means clustering, back propagation neural network (BPNN) and support vector machines (SVMs), are used to detect the recycled IC aged for a shorter period (1 day) with minimum data size. This work also distinguishes the effects of degradation due to process variations and reliability effects. The reliability and Monte Carlo simulation are performed on benchmark circuits such as c17, s27, b02 and fully differential folded-cascode amplifier using the Cadence Virtuoso tool, and the parameters such as minimum voltage, delay value, supply current, gain, phase margin and bandwidth are measured. Machine learning methods are developed using MATLAB to train and classify the parameters. From the results obtained, it is observed that the classification rate for the benchmark circuits is 100%, and using BPNN, K-means clustering and SVM and the proposed method, recycled IC or used IC is detected even if it was used for 1 day.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-12-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12005","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79014735","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
IET Computers and Digital Techniques
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1