首页 > 最新文献

2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)最新文献

英文 中文
AVICA: An access-time variation insensitive L1 cache architecture AVICA:访问时间变化不敏感的L1缓存架构
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.028
Seokin Hong, Soontae Kim
Ever scaling process technology increases variations in transistors. The process variations cause large fluctuations in the access times of SRAM cells. Caches made of those SRAM cells cannot be accessed within the target clock cycle time, which reduces yield of processors. To combat these access time failures in caches, many schemes have been proposed, which are, however, limited in their coverage and do not scale well at high failure rates. We propose a new L1 cache architecture (AVICA) employing asymmetric pipelining and pseudo multi-banking. Asymmetric pipelining eliminates all access time failures in L1 caches. Pseudo multi-banking minimizes the performance impact of asymmetric pipelining. For further performance improvement, architectural techniques are proposed. Our experimental results show that our proposed L1 cache architecture incurs less than 1% performance hit compared to the conventional cache architecture with no access time failure. Our proposed architecture is not sensitive to access time failure rates and has low overheads compared to the previously proposed competitive schemes.
不断缩放的工艺技术增加了晶体管的变化。过程的变化导致SRAM单元的访问时间有很大的波动。由这些SRAM单元组成的缓存不能在目标时钟周期内被访问,这降低了处理器的产量。为了解决这些缓存中的访问时间故障,已经提出了许多方案,然而,它们的覆盖范围有限,并且在高故障率下不能很好地扩展。我们提出了一种新的L1缓存架构(AVICA),采用非对称管道和伪多银行。非对称管道消除了L1缓存中的所有访问时间故障。伪多银行最大限度地减少了非对称管道对性能的影响。为了进一步提高性能,提出了体系结构技术。我们的实验结果表明,与传统的缓存体系结构相比,我们提出的L1缓存体系结构在没有访问时间故障的情况下导致不到1%的性能损失。我们提出的架构对访问时间故障率不敏感,与之前提出的竞争方案相比,开销低。
{"title":"AVICA: An access-time variation insensitive L1 cache architecture","authors":"Seokin Hong, Soontae Kim","doi":"10.7873/DATE.2013.028","DOIUrl":"https://doi.org/10.7873/DATE.2013.028","url":null,"abstract":"Ever scaling process technology increases variations in transistors. The process variations cause large fluctuations in the access times of SRAM cells. Caches made of those SRAM cells cannot be accessed within the target clock cycle time, which reduces yield of processors. To combat these access time failures in caches, many schemes have been proposed, which are, however, limited in their coverage and do not scale well at high failure rates. We propose a new L1 cache architecture (AVICA) employing asymmetric pipelining and pseudo multi-banking. Asymmetric pipelining eliminates all access time failures in L1 caches. Pseudo multi-banking minimizes the performance impact of asymmetric pipelining. For further performance improvement, architectural techniques are proposed. Our experimental results show that our proposed L1 cache architecture incurs less than 1% performance hit compared to the conventional cache architecture with no access time failure. Our proposed architecture is not sensitive to access time failure rates and has low overheads compared to the previously proposed competitive schemes.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84706365","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Model predictive control over delay-based differentiated services control networks 基于延迟的差异化服务控制网络模型预测控制
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.234
R. Muradore, D. Quaglia, P. Fiorini
Networked control systems are a well-known sub-set of cyber-physical systems in which the plant is controlled by sending commands through a digital packet-based network. Current control networks provide advanced channel access mechanisms to guarantee low delay on a limited fraction of packets (low-delay class) while the other packets (un-protected class) experience a higher delay which increases with channel utilization. We investigate the extension of model predictive control to choose both the command value and its assignment to one of the two classes according to the predicted state of the plant and the knowledge of network condition. Experimental results show that more commands are assigned to the low-delay class when either the tracking error is high or the network condition is bad.
网络控制系统是网络物理系统的一个众所周知的子集,其中工厂通过基于数字分组的网络发送命令来控制。当前的控制网络提供了先进的通道访问机制,以保证有限部分数据包(低延迟类)的低延迟,而其他数据包(未受保护类)则经历更高的延迟,这种延迟随着通道利用率的增加而增加。我们研究了模型预测控制的扩展,根据被预测对象的状态和网络状态的知识,选择命令值及其分配给两类中的一类。实验结果表明,在跟踪误差较大或网络条件较差的情况下,低延迟类会被分配更多的命令。
{"title":"Model predictive control over delay-based differentiated services control networks","authors":"R. Muradore, D. Quaglia, P. Fiorini","doi":"10.7873/DATE.2013.234","DOIUrl":"https://doi.org/10.7873/DATE.2013.234","url":null,"abstract":"Networked control systems are a well-known sub-set of cyber-physical systems in which the plant is controlled by sending commands through a digital packet-based network. Current control networks provide advanced channel access mechanisms to guarantee low delay on a limited fraction of packets (low-delay class) while the other packets (un-protected class) experience a higher delay which increases with channel utilization. We investigate the extension of model predictive control to choose both the command value and its assignment to one of the two classes according to the predicted state of the plant and the knowledge of network condition. Experimental results show that more commands are assigned to the low-delay class when either the tracking error is high or the network condition is bad.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83837964","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Hybrid Prototyping of multicore embedded systems 多核嵌入式系统的混合原型
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.330
Ehsan Saboori, S. Abdi
This paper presents a novel modeling technique for multicore embedded systems, called Hybrid Prototyping. The fundamental idea is to simulate a design with multiple cores by creating an emulation kernel in software on top of a single physical instance of the core. The emulation kernel switches between tasks mapped to different cores and manages the logical simulation times of the individual cores. As a result, we can achieve fast and cycle-accurate simulation of symmetric multicore designs, thereby overcoming the accuracy concerns of virtual prototyping and the scalability issues of physical prototyping. Our experiments with industrial multicore designs show that the simulation time with hybrid prototyping grows only linearly with the number of cores and the inter-core communication traffic, while providing 100% cycle accuracy.
本文提出了一种新的多核嵌入式系统建模技术——混合原型技术。其基本思想是通过在内核的单个物理实例之上的软件中创建仿真内核来模拟具有多个内核的设计。仿真内核在映射到不同内核的任务之间切换,并管理各个内核的逻辑仿真时间。因此,我们可以实现对称多核设计的快速和周期精确的仿真,从而克服了虚拟样机的精度问题和物理样机的可扩展性问题。我们对工业多核设计的实验表明,混合原型的仿真时间仅与核数和核间通信流量线性增长,同时提供100%的周期精度。
{"title":"Hybrid Prototyping of multicore embedded systems","authors":"Ehsan Saboori, S. Abdi","doi":"10.7873/DATE.2013.330","DOIUrl":"https://doi.org/10.7873/DATE.2013.330","url":null,"abstract":"This paper presents a novel modeling technique for multicore embedded systems, called Hybrid Prototyping. The fundamental idea is to simulate a design with multiple cores by creating an emulation kernel in software on top of a single physical instance of the core. The emulation kernel switches between tasks mapped to different cores and manages the logical simulation times of the individual cores. As a result, we can achieve fast and cycle-accurate simulation of symmetric multicore designs, thereby overcoming the accuracy concerns of virtual prototyping and the scalability issues of physical prototyping. Our experiments with industrial multicore designs show that the simulation time with hybrid prototyping grows only linearly with the number of cores and the inter-core communication traffic, while providing 100% cycle accuracy.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82274663","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A virtual prototyping platform for real-time systems with a case study for a two-wheeled robot 实时系统的虚拟样机平台,并以两轮机器人为例进行了研究
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.274
Daniel Mueller-Gritschneder, Kun Lu, Erik Wallander, Marc Greim, Ulf Schlichtmann
In today's real-time system design, a virtual prototype can help to increase both the design speed and quality. Developing a virtual prototyping platform requires realistic modeling of the HW system, accurate simulation of the real-time SW, and integration with a reactive real-time environment. Such a VP simulation platform is often difficult to develop. In this paper, we propose a case-study of autonomous two-wheeled robot to show how to develop a virtual prototyping platform rapidly in SystemC/TLM to adequately aid in the design of this instable system with hard real-time constraints. Our approach is an integration of four major model components. Firstly, an accurate physical model of the robot is provided. Secondly, a virtual world is modeled in Java that offers a 3D environment for the robot to move in. Thirdly, the embedded control SW is developed. Finally, the overall HW system is modeled in SystemC at transaction level. This HW model wraps the physical model, interacts with the virtual world, and simulates the real-time SW by integrating an Instruction Set Simulator of the embedded CPU. By integrating these components into a platform, designers can efficiently optimize the embedded SW architecture, explore the design space and check real-time conditions for different system parameters such as buffer sizes, CPU frequency or cache configurations.
在当今的实时系统设计中,虚拟样机可以帮助提高设计速度和质量。开发虚拟样机平台需要对硬件系统进行逼真的建模,对实时软件进行精确的仿真,并与响应式实时环境集成。这样的副总裁仿真平台通常很难开发。本文以自主两轮机器人为例,展示了如何在SystemC/TLM中快速开发虚拟样机平台,以充分帮助设计这种具有硬实时约束的不稳定系统。我们的方法是四个主要模型组件的集成。首先,给出了机器人的精确物理模型。其次,用Java语言构建虚拟世界,为机器人的活动提供三维环境。第三,开发了嵌入式控制软件。最后,在SystemC中对整个硬件系统进行事务级建模。该硬件模型封装物理模型,与虚拟世界进行交互,并通过集成嵌入式CPU的指令集模拟器对实时软件进行仿真。通过将这些组件集成到一个平台中,设计人员可以有效地优化嵌入式软件架构,探索设计空间,并检查不同系统参数(如缓冲区大小、CPU频率或缓存配置)的实时情况。
{"title":"A virtual prototyping platform for real-time systems with a case study for a two-wheeled robot","authors":"Daniel Mueller-Gritschneder, Kun Lu, Erik Wallander, Marc Greim, Ulf Schlichtmann","doi":"10.7873/DATE.2013.274","DOIUrl":"https://doi.org/10.7873/DATE.2013.274","url":null,"abstract":"In today's real-time system design, a virtual prototype can help to increase both the design speed and quality. Developing a virtual prototyping platform requires realistic modeling of the HW system, accurate simulation of the real-time SW, and integration with a reactive real-time environment. Such a VP simulation platform is often difficult to develop. In this paper, we propose a case-study of autonomous two-wheeled robot to show how to develop a virtual prototyping platform rapidly in SystemC/TLM to adequately aid in the design of this instable system with hard real-time constraints. Our approach is an integration of four major model components. Firstly, an accurate physical model of the robot is provided. Secondly, a virtual world is modeled in Java that offers a 3D environment for the robot to move in. Thirdly, the embedded control SW is developed. Finally, the overall HW system is modeled in SystemC at transaction level. This HW model wraps the physical model, interacts with the virtual world, and simulates the real-time SW by integrating an Instruction Set Simulator of the embedded CPU. By integrating these components into a platform, designers can efficiently optimize the embedded SW architecture, explore the design space and check real-time conditions for different system parameters such as buffer sizes, CPU frequency or cache configurations.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84079726","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Probabilistic timing analysis on conventional cache designs 传统高速缓存设计的概率时序分析
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.132
Leonidas Kosmidis, Charlie Curtsinger, E. Quiñones, J. Abella, E. Berger, F. Cazorla
Probabilistic timing analysis (PTA), a promising alternative to traditional worst-case execution time (WCET) analyses, enables pairing time bounds (named probabilistic WCET or pWCET) with an exceedance probability (e.g., 10−16), resulting in far tighter bounds than conventional analyses. However, the applicability of PTA has been limited because of its dependence on relatively exotic hardware: fully-associative caches using random replacement. This paper extends the applicability of PTA to conventional cache designs via a software-only approach. We show that, by using a combination of compiler techniques and runtime system support to randomise the memory layout of both code and data, conventional caches behave as fully-associative ones with random replacement.
概率计时分析(PTA)是传统最坏情况执行时间(WCET)分析的一种很有前途的替代方案,它可以将时间界限(称为概率WCET或pWCET)与超越概率(例如,10 - 16)配对,从而产生比传统分析更严格的界限。然而,PTA的适用性受到限制,因为它依赖于相对特殊的硬件:使用随机替换的全关联缓存。本文通过一种纯软件的方法将PTA的适用性扩展到传统的缓存设计中。我们表明,通过结合使用编译器技术和运行时系统支持来随机化代码和数据的内存布局,传统的缓存表现为具有随机替换的完全关联的缓存。
{"title":"Probabilistic timing analysis on conventional cache designs","authors":"Leonidas Kosmidis, Charlie Curtsinger, E. Quiñones, J. Abella, E. Berger, F. Cazorla","doi":"10.7873/DATE.2013.132","DOIUrl":"https://doi.org/10.7873/DATE.2013.132","url":null,"abstract":"Probabilistic timing analysis (PTA), a promising alternative to traditional worst-case execution time (WCET) analyses, enables pairing time bounds (named probabilistic WCET or pWCET) with an exceedance probability (e.g., 10−16), resulting in far tighter bounds than conventional analyses. However, the applicability of PTA has been limited because of its dependence on relatively exotic hardware: fully-associative caches using random replacement. This paper extends the applicability of PTA to conventional cache designs via a software-only approach. We show that, by using a combination of compiler techniques and runtime system support to randomise the memory layout of both code and data, conventional caches behave as fully-associative ones with random replacement.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80155722","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 50
Memristor PUFs: A new generation of memory-based Physically Unclonable Functions 忆阻器puf:新一代基于内存的物理不可克隆功能
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.096
Patrick Koeberl, Ünal Koçabas, A. Sadeghi
Memristors are emerging as a potential candidate for next-generation memory technologies, promising to deliver non-volatility at performance and density targets which were previously the domain of SRAM and DRAM. Silicon Physically Unclonable Functions (PUFs) have been introduced as a relatively new security primitive which exploit manufacturing variation resulting from the IC fabrication process to uniquely fingerprint a device instance or generate device-specific cryptographic key material. While silicon PUFs have been proposed which build on traditional memory structures, in particular SRAM, in this paper we present a memristor-based PUF which utilizes a weak-write mechanism to obtain cell behaviour which is influenced by process variation and hence usable as a PUF response. Using a model-based approach we evaluate memristor PUFs under random process variations and present results on the performance of this new PUF variant.
忆阻器正在成为下一代存储技术的潜在候选者,有望在性能和密度目标上提供非易失性,这在以前是SRAM和DRAM的领域。硅物理不可克隆函数(puf)作为一种相对较新的安全原语被引入,它利用由IC制造过程引起的制造变化来唯一地识别设备实例或生成设备特定的加密密钥材料。虽然已经提出了基于传统存储结构的硅PUF,特别是SRAM,但在本文中,我们提出了一种基于忆阻器的PUF,它利用弱写机制来获得受工艺变化影响的细胞行为,因此可用作PUF响应。使用基于模型的方法,我们评估了随机工艺变化下的忆阻PUF,并给出了这种新PUF变体的性能结果。
{"title":"Memristor PUFs: A new generation of memory-based Physically Unclonable Functions","authors":"Patrick Koeberl, Ünal Koçabas, A. Sadeghi","doi":"10.7873/DATE.2013.096","DOIUrl":"https://doi.org/10.7873/DATE.2013.096","url":null,"abstract":"Memristors are emerging as a potential candidate for next-generation memory technologies, promising to deliver non-volatility at performance and density targets which were previously the domain of SRAM and DRAM. Silicon Physically Unclonable Functions (PUFs) have been introduced as a relatively new security primitive which exploit manufacturing variation resulting from the IC fabrication process to uniquely fingerprint a device instance or generate device-specific cryptographic key material. While silicon PUFs have been proposed which build on traditional memory structures, in particular SRAM, in this paper we present a memristor-based PUF which utilizes a weak-write mechanism to obtain cell behaviour which is influenced by process variation and hence usable as a PUF response. Using a model-based approach we evaluate memristor PUFs under random process variations and present results on the performance of this new PUF variant.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80313728","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 114
Innovative energy storage solutions for future electromobility in smart cities 面向未来智慧城市电动交通的创新储能解决方案
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.348
K. Green, S. González, Ruud Wijtvliet
The stochastic nature of renewable energy sources will no doubt place strain upon the electrical distribution networks as power generation is converted to environmentally friendly methods. The use of energy storage technologies could significantly improve the usability of these energy sources. A domestic installation, based on a 4 kWh energy storage unit, is under development and modeling shows that the proposed unit would improve the energy autonomy of a household.
随着发电方式向环境友好型转变,可再生能源的随机性无疑会给配电网带来压力。储能技术的使用可以显著提高这些能源的可用性。一个基于4千瓦时储能单元的家庭装置正在开发中,模型显示,拟议的单元将提高家庭的能源自主权。
{"title":"Innovative energy storage solutions for future electromobility in smart cities","authors":"K. Green, S. González, Ruud Wijtvliet","doi":"10.7873/DATE.2013.348","DOIUrl":"https://doi.org/10.7873/DATE.2013.348","url":null,"abstract":"The stochastic nature of renewable energy sources will no doubt place strain upon the electrical distribution networks as power generation is converted to environmentally friendly methods. The use of energy storage technologies could significantly improve the usability of these energy sources. A domestic installation, based on a 4 kWh energy storage unit, is under development and modeling shows that the proposed unit would improve the energy autonomy of a household.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80424352","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems 基于片上网络的多处理器系统寿命扩展的可靠性驱动任务映射
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.149
Anup Das, Akash Kumar, B. Veeravalli
Shrinking transistor geometries, aggressive voltage scaling and higher operating frequencies have negatively impacted the lifetime reliability of embedded multi-core systems. In this paper, a convex optimization-based task-mapping technique is proposed to extend the lifetime of a multiprocessor systems-on-chip (MPSoCs). The proposed technique generates mappings for every application enabled on the platform with variable number of cores. Based on these results, a novel 3D-optimization technique is developed to distribute the cores of an MPSoC among multiple applications enabled simultaneously. Additionally, reliability of the underlying network-on-chip links is also addressed by incorporating aging of links in the objective function. Our formulations are developed for directed acyclic graphs (DAGs) and synchronous dataflow graphs (SDFGs), making our approach applicable for streaming as well as non-streaming applications. Experiments conducted with synthetic and real-life application graphs demonstrate that the proposed approach extends the lifetime of an MPSoC by more than 30% when applications are enabled individually as well as in tandem.
缩小晶体管的几何形状,侵略性的电压缩放和更高的工作频率对嵌入式多核系统的寿命可靠性产生了负面影响。本文提出了一种基于凸优化的任务映射技术来延长多处理器片上系统(mpsoc)的寿命。提出的技术为平台上启用的每个具有可变核数的应用程序生成映射。基于这些结果,开发了一种新的3d优化技术,可以将MPSoC的核心分布在同时启用的多个应用中。此外,通过在目标函数中加入链路老化,还解决了底层片上网络链路的可靠性问题。我们的公式是为有向无环图(dag)和同步数据流图(sdfg)开发的,使我们的方法适用于流和非流应用程序。通过合成和实际应用图进行的实验表明,无论应用是单独启用还是串联启用,所提出的方法都可以将MPSoC的使用寿命延长30%以上。
{"title":"Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems","authors":"Anup Das, Akash Kumar, B. Veeravalli","doi":"10.7873/DATE.2013.149","DOIUrl":"https://doi.org/10.7873/DATE.2013.149","url":null,"abstract":"Shrinking transistor geometries, aggressive voltage scaling and higher operating frequencies have negatively impacted the lifetime reliability of embedded multi-core systems. In this paper, a convex optimization-based task-mapping technique is proposed to extend the lifetime of a multiprocessor systems-on-chip (MPSoCs). The proposed technique generates mappings for every application enabled on the platform with variable number of cores. Based on these results, a novel 3D-optimization technique is developed to distribute the cores of an MPSoC among multiple applications enabled simultaneously. Additionally, reliability of the underlying network-on-chip links is also addressed by incorporating aging of links in the objective function. Our formulations are developed for directed acyclic graphs (DAGs) and synchronous dataflow graphs (SDFGs), making our approach applicable for streaming as well as non-streaming applications. Experiments conducted with synthetic and real-life application graphs demonstrate that the proposed approach extends the lifetime of an MPSoC by more than 30% when applications are enabled individually as well as in tandem.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83324808","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 77
Efficient software-based fault tolerance approach on multicore platforms 多核平台上基于软件的高效容错方法
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.194
Hamid Mushtaq, Z. Al-Ars, K. Bertels
This paper describes a low overhead software-based fault tolerance approach for shared memory multicore systems. The scheme is implemented at user-space level and requires almost no changes to the original application. Redundant multithreaded processes are used to detect soft errors and recover from them. Our scheme makes sure that the execution of the redundant processes is identical even in the presence of non-determinism due to shared memory accesses. It provides a very low overhead mechanism to achieve this. Moreover it implements a fast error detection and recovery mechanism. The overhead incurred by our approach ranges from 0% to 18% for selected benchmarks. This is lower than comparable systems published in literature.
本文提出了一种基于软件的低开销共享内存多核系统容错方法。该方案在用户空间级别实现,几乎不需要对原始应用程序进行任何更改。冗余多线程进程用于检测软错误并从中恢复。我们的方案确保冗余进程的执行是相同的,即使存在由于共享内存访问而导致的不确定性。它提供了一种非常低开销的机制来实现这一点。实现了快速的错误检测和恢复机制。对于选定的基准测试,我们的方法产生的开销从0%到18%不等。这比文献中发表的可比系统要低。
{"title":"Efficient software-based fault tolerance approach on multicore platforms","authors":"Hamid Mushtaq, Z. Al-Ars, K. Bertels","doi":"10.7873/DATE.2013.194","DOIUrl":"https://doi.org/10.7873/DATE.2013.194","url":null,"abstract":"This paper describes a low overhead software-based fault tolerance approach for shared memory multicore systems. The scheme is implemented at user-space level and requires almost no changes to the original application. Redundant multithreaded processes are used to detect soft errors and recover from them. Our scheme makes sure that the execution of the redundant processes is identical even in the presence of non-determinism due to shared memory accesses. It provides a very low overhead mechanism to achieve this. Moreover it implements a fast error detection and recovery mechanism. The overhead incurred by our approach ranges from 0% to 18% for selected benchmarks. This is lower than comparable systems published in literature.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83336862","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Active power-gating-induced power/ground noise alleviation using parasitic capacitance of on-chip memories 利用片上存储器的寄生电容抑制有源功率门控引起的功率/地噪声
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.253
Xuan Wang, Jiang Xu, Wei Zhang, Xiaowen Wu, Yaoyao Ye, Zhehui Wang, M. Nikdast, Zhe Wang
By integrating multiple processing units and memories on a single chip, multiprocessor system-on-chip (MPSoC) can provide higher performance per energy and lower cost per function to applications with growing complexity. In order to maintain the power budget, power gating technique is widely used to reduce the leakage power. However, it will introduce significant power/ground (P/G) noises, and threat the reliability of MPSoCs. With significant area, power and performance overheads, traditional methods rely on reinforced circuits or fixed protection strategies to reduce P/G noises caused by power gating. In this paper, we propose a systematic approach to actively alleviating P/G noises using the parasitic capacitance of on-chip memories through sensor network on-chip (SENoC). We utilize the parasitic capacitance of on-chip memories as dynamic decoupling capacitance to suppress P/G noises and develop a detailed Hspice model for related study. SENoC is developed to not only monitor and report P/G noises but also coordinate processing units and memories to alleviate such transient threats at run time. Extensive evaluations show that compared with traditional methods, our approach saves 11.7% to 62.2% energy consumption and achieves 13.3% to 69.3% performance improvement for different applications and MPSoCs with different scales. We implement the circuit details of our approach and show its low area and energy consumption overheads.
通过在单个芯片上集成多个处理单元和存储器,多处理器片上系统(MPSoC)可以为日益复杂的应用提供更高的每能量性能和更低的每功能成本。为了保持功率预算,功率门控技术被广泛应用于降低泄漏功率。然而,它会引入显著的功率/地(P/G)噪声,并威胁mpsoc的可靠性。传统的方法依赖于增强电路或固定保护策略来降低功率门控引起的P/G噪声,并且具有显著的面积,功率和性能开销。在本文中,我们提出了一种系统的方法,通过传感器网络片上(SENoC),利用片上存储器的寄生电容来主动减轻P/G噪声。我们利用片上存储器的寄生电容作为动态去耦电容来抑制P/G噪声,并建立了详细的Hspice模型用于相关研究。SENoC不仅可以监测和报告P/G噪声,还可以协调处理单元和存储器,以减轻运行时的瞬态威胁。广泛的评估表明,与传统方法相比,我们的方法在不同应用和不同规模的mpsoc上节省了11.7%至62.2%的能耗,实现了13.3%至69.3%的性能提升。我们实现了我们方法的电路细节,并展示了它的低面积和能耗开销。
{"title":"Active power-gating-induced power/ground noise alleviation using parasitic capacitance of on-chip memories","authors":"Xuan Wang, Jiang Xu, Wei Zhang, Xiaowen Wu, Yaoyao Ye, Zhehui Wang, M. Nikdast, Zhe Wang","doi":"10.7873/DATE.2013.253","DOIUrl":"https://doi.org/10.7873/DATE.2013.253","url":null,"abstract":"By integrating multiple processing units and memories on a single chip, multiprocessor system-on-chip (MPSoC) can provide higher performance per energy and lower cost per function to applications with growing complexity. In order to maintain the power budget, power gating technique is widely used to reduce the leakage power. However, it will introduce significant power/ground (P/G) noises, and threat the reliability of MPSoCs. With significant area, power and performance overheads, traditional methods rely on reinforced circuits or fixed protection strategies to reduce P/G noises caused by power gating. In this paper, we propose a systematic approach to actively alleviating P/G noises using the parasitic capacitance of on-chip memories through sensor network on-chip (SENoC). We utilize the parasitic capacitance of on-chip memories as dynamic decoupling capacitance to suppress P/G noises and develop a detailed Hspice model for related study. SENoC is developed to not only monitor and report P/G noises but also coordinate processing units and memories to alleviate such transient threats at run time. Extensive evaluations show that compared with traditional methods, our approach saves 11.7% to 62.2% energy consumption and achieves 13.3% to 69.3% performance improvement for different applications and MPSoCs with different scales. We implement the circuit details of our approach and show its low area and energy consumption overheads.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76343011","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1