首页 > 最新文献

2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)最新文献

英文 中文
Semiconductor technologies for smart mobility management 智能移动管理的半导体技术
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.352
Reiner John, M. Schulz, O. Vermesan, K. Kriegel
This paper provides an overview of the latest developments in the development of semiconductor devices for implementation of electronic modules for EVs and HEVs and the implementation of charging stations and the interface with the smart grid infrastructure. The design choices are influenced by the power level of the different applications.
本文概述了用于实现电动汽车和混合动力汽车电子模块的半导体器件的最新发展,以及充电站的实现和与智能电网基础设施的接口。设计选择受到不同应用的功率水平的影响。
{"title":"Semiconductor technologies for smart mobility management","authors":"Reiner John, M. Schulz, O. Vermesan, K. Kriegel","doi":"10.7873/DATE.2013.352","DOIUrl":"https://doi.org/10.7873/DATE.2013.352","url":null,"abstract":"This paper provides an overview of the latest developments in the development of semiconductor devices for implementation of electronic modules for EVs and HEVs and the implementation of charging stations and the interface with the smart grid infrastructure. The design choices are influenced by the power level of the different applications.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76652817","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Mutation analysis with coverage discounting 覆盖折扣的突变分析
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.021
Peter Lisherness, Nicole Lesperance, K. Cheng
Mutation testing is an established technique for evaluating validation thoroughness, but its adoption has been limited by the manual effort required to analyze the results. This paper describes the use of coverage discounting for mutation analysis, where undetected mutants are explained in terms of functional coverpoints, simplifying their analysis and saving effort. Two benchmarks are shown to compare this improved flow against regular mutation analysis. We also propose a confidence metric and simulation ordering algorithm optimized for coverage discounting, potentially reducing overall simulation time.
突变测试是一种用于评估验证彻彻性的成熟技术,但是它的采用受到分析结果所需的手工工作的限制。本文描述了对突变分析的覆盖折扣的使用,其中未检测到的突变用功能覆盖点来解释,简化了它们的分析并节省了工作量。本文展示了两个基准测试,将改进后的流程与常规的突变分析进行比较。我们还提出了针对覆盖折扣优化的置信度度量和模拟排序算法,潜在地减少了整体模拟时间。
{"title":"Mutation analysis with coverage discounting","authors":"Peter Lisherness, Nicole Lesperance, K. Cheng","doi":"10.7873/DATE.2013.021","DOIUrl":"https://doi.org/10.7873/DATE.2013.021","url":null,"abstract":"Mutation testing is an established technique for evaluating validation thoroughness, but its adoption has been limited by the manual effort required to analyze the results. This paper describes the use of coverage discounting for mutation analysis, where undetected mutants are explained in terms of functional coverpoints, simplifying their analysis and saving effort. Two benchmarks are shown to compare this improved flow against regular mutation analysis. We also propose a confidence metric and simulation ordering algorithm optimized for coverage discounting, potentially reducing overall simulation time.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75954322","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Future memory and interconnect technologies 未来的存储器和互连技术
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.202
Yuan Xie
The improvement of the computer system performance is constrained by the well-known memory wall and power wall. It has been recognized that the memory architecture and the interconnect architecture are becoming the overwhelming bottleneck in computer performance. Disruptive technologies, such as emerging non-volatile memory (NVM) technologies, 3D integration, and optical interconnects, are envisioned as promising future memory and interconnect technologies that can fundamentally change the landscape of the future computer architecture design with profound impact. This invited survey paper gives a brief introduction of these future memory and interconnect technologies, discusses the opportunities and challenges of these new technologies for future computer system designs.
计算机系统性能的提高受到众所周知的内存墙和功耗墙的制约。人们已经认识到,内存体系结构和互连体系结构正在成为计算机性能的压倒性瓶颈。颠覆性技术,如新兴的非易失性存储器(NVM)技术、3D集成和光互连,被认为是有前途的未来存储器和互连技术,可以从根本上改变未来计算机架构设计的格局,并产生深远的影响。本文简要介绍了这些未来的存储器和互连技术,讨论了这些新技术对未来计算机系统设计的机遇和挑战。
{"title":"Future memory and interconnect technologies","authors":"Yuan Xie","doi":"10.7873/DATE.2013.202","DOIUrl":"https://doi.org/10.7873/DATE.2013.202","url":null,"abstract":"The improvement of the computer system performance is constrained by the well-known memory wall and power wall. It has been recognized that the memory architecture and the interconnect architecture are becoming the overwhelming bottleneck in computer performance. Disruptive technologies, such as emerging non-volatile memory (NVM) technologies, 3D integration, and optical interconnects, are envisioned as promising future memory and interconnect technologies that can fundamentally change the landscape of the future computer architecture design with profound impact. This invited survey paper gives a brief introduction of these future memory and interconnect technologies, discusses the opportunities and challenges of these new technologies for future computer system designs.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73018789","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 36
A Critical-Section-Level timing synchronization approach for deterministic multi-core instruction-set simulations 一种用于确定性多核指令集仿真的临界分段级时序同步方法
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.140
Fan-Wei Yu, Bo-Han Zeng, Yu-Hung Huang, Hsin-I Wu, Che-Rung Lee, R. Tsay
This paper proposes a Critical-Section-Level timing synchronization approach for deterministic Multi-Core Instruction-Set Simulation (MCISS). By synchronizing at each lock access instead of every shared-variable access and using a simple lock usage status managing scheme, our approach significantly improves simulation performance while executing all critical sections in a deterministic order. Experiments show that our approach performs 295% faster than the shared-variable synchronization approach on average and can effectively facilitate system-level software/hardware co-simulation.
提出了一种用于确定性多核指令集仿真(MCISS)的临界分段级时序同步方法。通过同步每个锁访问而不是每个共享变量访问,并使用简单的锁使用状态管理方案,我们的方法在以确定性顺序执行所有关键段的同时显着提高了模拟性能。实验表明,该方法比共享变量同步方法平均快295%,可以有效地促进系统级软硬件协同仿真。
{"title":"A Critical-Section-Level timing synchronization approach for deterministic multi-core instruction-set simulations","authors":"Fan-Wei Yu, Bo-Han Zeng, Yu-Hung Huang, Hsin-I Wu, Che-Rung Lee, R. Tsay","doi":"10.7873/DATE.2013.140","DOIUrl":"https://doi.org/10.7873/DATE.2013.140","url":null,"abstract":"This paper proposes a Critical-Section-Level timing synchronization approach for deterministic Multi-Core Instruction-Set Simulation (MCISS). By synchronizing at each lock access instead of every shared-variable access and using a simple lock usage status managing scheme, our approach significantly improves simulation performance while executing all critical sections in a deterministic order. Experiments show that our approach performs 295% faster than the shared-variable synchronization approach on average and can effectively facilitate system-level software/hardware co-simulation.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72844488","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Machine learning-based anomaly detection for post-silicon bug diagnosis 基于机器学习的后硅bug诊断异常检测
Pub Date : 2013-03-18 DOI: 10.5555/2485288.2485411
A. DeOrio, Qingkun Li, M. Burgess, V. Bertacco
The exponentially growing complexity of modern processors intensifies verification challenges. Traditional pre-silicon verification covers less and less of the design space, resulting in increasing post-silicon validation effort. A critical challenge is the manual debugging of intermittent failures on prototype chips, where multiple executions of a same test do not yield a consistent outcome. We leverage the power of machine learning to support automatic diagnosis of these difficult, inconsistent bugs. During post-silicon validation, lightweight hardware logs a compact measurement of observed signal activity over multiple executions of a same test: some may pass, somemay fail. Our novel algorithm applies anomaly detection techniques similar to those used to detect credit card fraud to identify the approximate cycle of a bug's occurrence and a set of candidate root-cause signals. Compared against other state-of-the-art solutions in this space, our new approach can locate the time of a bug's occurrence with nearly 4x better accuracy when applied to the complex OpenSPARC T2 design.
现代处理器指数级增长的复杂性加剧了验证的挑战。传统的硅前验证覆盖的设计空间越来越少,导致硅后验证工作量增加。一个关键的挑战是手动调试原型芯片上的间歇性故障,其中多次执行相同的测试不会产生一致的结果。我们利用机器学习的力量来支持这些困难的、不一致的错误的自动诊断。在硅后验证期间,轻量级硬件记录了对同一测试多次执行所观察到的信号活动的紧凑测量:有些可能通过,有些可能失败。我们的新算法应用了类似于检测信用卡欺诈的异常检测技术,以识别漏洞发生的大致周期和一组候选根本原因信号。与该领域的其他最先进的解决方案相比,我们的新方法在应用于复杂的OpenSPARC T2设计时,可以以近4倍的精度定位错误发生的时间。
{"title":"Machine learning-based anomaly detection for post-silicon bug diagnosis","authors":"A. DeOrio, Qingkun Li, M. Burgess, V. Bertacco","doi":"10.5555/2485288.2485411","DOIUrl":"https://doi.org/10.5555/2485288.2485411","url":null,"abstract":"The exponentially growing complexity of modern processors intensifies verification challenges. Traditional pre-silicon verification covers less and less of the design space, resulting in increasing post-silicon validation effort. A critical challenge is the manual debugging of intermittent failures on prototype chips, where multiple executions of a same test do not yield a consistent outcome. We leverage the power of machine learning to support automatic diagnosis of these difficult, inconsistent bugs. During post-silicon validation, lightweight hardware logs a compact measurement of observed signal activity over multiple executions of a same test: some may pass, somemay fail. Our novel algorithm applies anomaly detection techniques similar to those used to detect credit card fraud to identify the approximate cycle of a bug's occurrence and a set of candidate root-cause signals. Compared against other state-of-the-art solutions in this space, our new approach can locate the time of a bug's occurrence with nearly 4x better accuracy when applied to the complex OpenSPARC T2 design.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73645676","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
A multi-level Monte Carlo FPGA accelerator for option pricing in the Heston model 一个多级蒙特卡罗FPGA加速器的期权定价在赫斯顿模型
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.063
C. D. Schryver, P. Torruella, N. Wehn
The increasing demand for fast and accurate product pricing and risk computation together with high energy costs currently make finance and insurance institutes to rethink their IT infrastructure. Heterogeneous systems including specialized accelerator devices are a promising alternative to current CPU and GPU-clusters towards hardware accelerated computing. It has already been shown in previous work that complex state-of-the-art computations that have to be performed very frequently can be sped up by FPGA accelerators in a highly efficient way in this domain. A very common task is the pricing of credit derivatives, in particular options, under realistic market models. Monte Carlo methods are typically employed for complex or path dependent products. It has been shown that the multi-level Monte Carlo can provide a much better convergence behavior than standard single-level methods. In this work we present the first hardware architecture for pricing European barrier options in the Heston model based on the advanced multi-level Monte Carlo method. The presented architecture uses industry-standard AXI4-Stream flow control, is constructed in a modular way and can be extended to more products easily. We show that it computes around 100 millions of steps in a second with a total power consumption of 3.58 W on a Xilinx Virtex-6 FPGA.
对快速准确的产品定价和风险计算的需求不断增长,加上能源成本高企,目前促使金融和保险机构重新考虑其IT基础设施。包含专用加速器设备的异构系统是当前CPU和gpu集群向硬件加速计算方向发展的一个有前途的替代方案。在以前的工作中已经表明,在这个领域中,FPGA加速器可以以高效的方式加速必须频繁执行的复杂的最先进的计算。一个非常常见的任务是在现实市场模型下为信用衍生品(尤其是期权)定价。蒙特卡罗方法通常用于复杂的或路径相关的产品。结果表明,多层蒙特卡罗方法比标准的单级方法具有更好的收敛性能。在这项工作中,我们提出了基于先进的多层次蒙特卡罗方法的赫斯顿模型中欧洲障碍期权定价的第一个硬件架构。所提供的体系结构使用行业标准的AXI4-Stream流控制,以模块化的方式构建,并且可以轻松地扩展到更多的产品。我们表明,在Xilinx Virtex-6 FPGA上,它每秒计算大约1亿步,总功耗为3.58 W。
{"title":"A multi-level Monte Carlo FPGA accelerator for option pricing in the Heston model","authors":"C. D. Schryver, P. Torruella, N. Wehn","doi":"10.7873/DATE.2013.063","DOIUrl":"https://doi.org/10.7873/DATE.2013.063","url":null,"abstract":"The increasing demand for fast and accurate product pricing and risk computation together with high energy costs currently make finance and insurance institutes to rethink their IT infrastructure. Heterogeneous systems including specialized accelerator devices are a promising alternative to current CPU and GPU-clusters towards hardware accelerated computing. It has already been shown in previous work that complex state-of-the-art computations that have to be performed very frequently can be sped up by FPGA accelerators in a highly efficient way in this domain. A very common task is the pricing of credit derivatives, in particular options, under realistic market models. Monte Carlo methods are typically employed for complex or path dependent products. It has been shown that the multi-level Monte Carlo can provide a much better convergence behavior than standard single-level methods. In this work we present the first hardware architecture for pricing European barrier options in the Heston model based on the advanced multi-level Monte Carlo method. The presented architecture uses industry-standard AXI4-Stream flow control, is constructed in a modular way and can be extended to more products easily. We show that it computes around 100 millions of steps in a second with a total power consumption of 3.58 W on a Xilinx Virtex-6 FPGA.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73649210","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Fast shared on-chip memory architecture for efficient hybrid computing with CGRAs 快速共享片上存储器架构,用于高效的CGRAs混合计算
Pub Date : 2013-03-18 DOI: 10.5555/2485288.2485662
Jongeun Lee, Yeonghun Jeong, Sungsok Seo
While Coarse-Grained Reconfigurable Architectures (CGRAs) are very efficient at handling regular, compute-intensive loops, their weakness at control-intensive processing and the need for frequent reconfiguration require another processor, for which usually a main processor is used. To minimize the overhead arising in such collaborative execution, we integrate a dedicated sequential processor (SP) with a reconfigurable array (RA), where the crucial problem is how to share the memory between SP and RA while keeping the SP's memory access latency very short. We present a detailed architecture, control, and program example of our approach, focusing on our optimized on-chip shared memory organization between SP and RA. Our preliminary results demonstrate that our optimized memory architecture is very effective in reducing kernel execution times (23.5% compared to a more straightforward alternative), and our approach can reduce the RA control overhead and other sequential code execution time in kernels significantly, resulting in up to 23.1% reduction in kernel execution time, compared to the conventional system using the main processor for sequential code execution.
虽然粗粒度可重构架构(CGRAs)在处理常规的计算密集型循环方面非常有效,但它们在控制密集型处理方面的弱点和频繁重新配置的需要需要另一个处理器,通常使用主处理器。为了最大限度地减少这种协同执行中产生的开销,我们将专用顺序处理器(SP)与可重构阵列(RA)集成在一起,其中的关键问题是如何在SP和RA之间共享内存,同时保持SP的内存访问延迟非常短。我们给出了我们方法的详细架构、控制和程序示例,重点介绍了我们优化的SP和RA之间的片上共享内存组织。我们的初步结果表明,我们优化的内存架构在减少内核执行时间方面非常有效(与更直接的替代方案相比为23.5%),并且我们的方法可以显著减少内核中的RA控制开销和其他顺序代码执行时间,与使用主处理器进行顺序代码执行的传统系统相比,内核执行时间最多减少23.1%。
{"title":"Fast shared on-chip memory architecture for efficient hybrid computing with CGRAs","authors":"Jongeun Lee, Yeonghun Jeong, Sungsok Seo","doi":"10.5555/2485288.2485662","DOIUrl":"https://doi.org/10.5555/2485288.2485662","url":null,"abstract":"While Coarse-Grained Reconfigurable Architectures (CGRAs) are very efficient at handling regular, compute-intensive loops, their weakness at control-intensive processing and the need for frequent reconfiguration require another processor, for which usually a main processor is used. To minimize the overhead arising in such collaborative execution, we integrate a dedicated sequential processor (SP) with a reconfigurable array (RA), where the crucial problem is how to share the memory between SP and RA while keeping the SP's memory access latency very short. We present a detailed architecture, control, and program example of our approach, focusing on our optimized on-chip shared memory organization between SP and RA. Our preliminary results demonstrate that our optimized memory architecture is very effective in reducing kernel execution times (23.5% compared to a more straightforward alternative), and our approach can reduce the RA control overhead and other sequential code execution time in kernels significantly, resulting in up to 23.1% reduction in kernel execution time, compared to the conventional system using the main processor for sequential code execution.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84588144","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Automatic circuit sizing technique for the analog circuits with flexible TFTs considering process variation and bending effects 考虑工艺变化和弯曲效应的柔性tft模拟电路自动定径技术
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.297
Yen-Lung Chen, Wan-Rong Wu, Guan-Ruei Lu, C. Liu
Flexible electronics are possible alternative for portable consumer applications with many advantages. However, the circuit design for flexible electronics is still challenging, especially for sensitive analog circuits. Significant parameter variations and bending effects of flexible TFTs further increase the difficulties for circuit designers. In this paper, an automatic circuit sizing technique is proposed for the analog circuits with flexible TFTs. The process variation and bending effects of flexible TFTs are considered simultaneously in the optimization flow. As shown in the experimental results, the proposed approach can further improve the design yield and significantly reduce the design overhead.
柔性电子产品是便携式消费应用的可能选择,具有许多优点。然而,柔性电子器件的电路设计仍然具有挑战性,特别是对于敏感的模拟电路。柔性tft的显著参数变化和弯曲效应进一步增加了电路设计者的难度。本文提出了一种柔性tft模拟电路的自动定径技术。在优化流程中同时考虑了柔性tft的工艺变化和弯曲效应。实验结果表明,该方法可以进一步提高设计良率,显著降低设计开销。
{"title":"Automatic circuit sizing technique for the analog circuits with flexible TFTs considering process variation and bending effects","authors":"Yen-Lung Chen, Wan-Rong Wu, Guan-Ruei Lu, C. Liu","doi":"10.7873/DATE.2013.297","DOIUrl":"https://doi.org/10.7873/DATE.2013.297","url":null,"abstract":"Flexible electronics are possible alternative for portable consumer applications with many advantages. However, the circuit design for flexible electronics is still challenging, especially for sensitive analog circuits. Significant parameter variations and bending effects of flexible TFTs further increase the difficulties for circuit designers. In this paper, an automatic circuit sizing technique is proposed for the analog circuits with flexible TFTs. The process variation and bending effects of flexible TFTs are considered simultaneously in the optimization flow. As shown in the experimental results, the proposed approach can further improve the design yield and significantly reduce the design overhead.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84954583","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Energy-efficient multicore chip design through cross-layer approach 采用跨层方法设计节能多核芯片
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.156
P. Wettin, Jacob Murray, P. Pande, B. Shirazi, A. Ganguly
Traditional multi-core designs, based on the Network-on-Chip (NoC) paradigm, suffer from high latency and power dissipation as the system size scales up due to the inherent multi-hop nature of communication. Introducing long-range, low power, and high-bandwidth, single-hop links between far apart cores can significantly enhance the performance of NoC fabrics. In this paper, we propose design of a small-world network based NoC architecture with on-chip millimeter (mm)-wave wireless links. The millimeter wave small-world NoC (mSWNoC) is capable of improving the overall latency and energy dissipation characteristics compared to the conventional mesh-based counterpart. The mSWNoC helps in improving the energy dissipation, and hence the thermal profile, even further in presence of network-level dynamic voltage and frequency scaling (DVFS) without incurring any additional latency penalty.
基于片上网络(NoC)范式的传统多核设计,由于通信固有的多跳特性,随着系统规模的扩大,会出现高延迟和功耗的问题。在相距较远的核心之间引入远程、低功耗和高带宽的单跳链路可以显著提高NoC结构的性能。在本文中,我们提出了基于片上毫米波无线链路的小世界网络NoC架构的设计。与传统的基于网格的毫米波小世界NoC相比,mSWNoC能够改善整体延迟和能量耗散特性。mSWNoC有助于改善能量耗散,从而进一步改善热分布,甚至在网络级动态电压和频率缩放(DVFS)存在的情况下,也不会产生任何额外的延迟损失。
{"title":"Energy-efficient multicore chip design through cross-layer approach","authors":"P. Wettin, Jacob Murray, P. Pande, B. Shirazi, A. Ganguly","doi":"10.7873/DATE.2013.156","DOIUrl":"https://doi.org/10.7873/DATE.2013.156","url":null,"abstract":"Traditional multi-core designs, based on the Network-on-Chip (NoC) paradigm, suffer from high latency and power dissipation as the system size scales up due to the inherent multi-hop nature of communication. Introducing long-range, low power, and high-bandwidth, single-hop links between far apart cores can significantly enhance the performance of NoC fabrics. In this paper, we propose design of a small-world network based NoC architecture with on-chip millimeter (mm)-wave wireless links. The millimeter wave small-world NoC (mSWNoC) is capable of improving the overall latency and energy dissipation characteristics compared to the conventional mesh-based counterpart. The mSWNoC helps in improving the energy dissipation, and hence the thermal profile, even further in presence of network-level dynamic voltage and frequency scaling (DVFS) without incurring any additional latency penalty.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84974842","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
Qualification and testing process to implement anti-counterfeiting technologies into IC packages 在IC封装中实施防伪技术的鉴定和测试过程
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.237
Nathalie Kae-Nune, Stephanie Pesseguier
Counterfeiting is no longer limited to just fashion or luxury goods, the phenomenon has now reached electronics components which failure represents a high risk to the safety and security of human communities. One way for the semiconductor (SC) industry to fight against counterfeiting of electronic parts is to add technological innovation at the component level itself. The target is to enable the product authentication in a fast and reliable way. Because semiconductor manufacturing is a complex and delicate operation producing highly complex products which are sensitive to many environmental factors, any introduction of changes in its production - which the implementation of anti-counterfeiting (A/C) technologies must also comply to - must undergo thorough testing and qualification steps. This is mandatory to control the compliancy to the strict delivery requirements, quality and reliability level the industry has established, in line with the product performance specifications. This paper aims to explain the comprehensive requirements specification developed by members of semiconductor and related industries in Europe, to add authentication technologies solutions into IC packages. It also describes the qualification processes and testing plans to implement the most adequate and effective anti-counterfeiting technology (A/T). One of the main challenges in this A/C task is to make sure that the added A/C feature in electronic components does not create any additional reliability or failure issue, nor introduce additional risks that will benefit counterfeiters.
假冒不再局限于时尚或奢侈品,这种现象现在已经蔓延到电子元件,这些电子元件的故障对人类社会的安全和保障构成了高风险。半导体(SC)行业打击电子零件仿冒的方法之一是在元件层面本身增加技术创新。目标是使产品认证快速可靠。由于半导体制造是一项复杂而精细的操作,生产高度复杂的产品,对许多环境因素都很敏感,因此在其生产中引入的任何变化-防伪(a /C)技术的实施也必须遵守-必须经过彻底的测试和认证步骤。这是强制性控制,以符合严格的交货要求,质量和可靠性水平的行业建立,符合产品的性能规范。本文旨在解释欧洲半导体及相关行业成员制定的综合需求规范,以将认证技术解决方案添加到IC封装中。它还描述了实施最充分和有效的防伪技术(A/T)的鉴定过程和测试计划。这一空调任务的主要挑战之一是确保电子元件中增加的空调功能不会产生任何额外的可靠性或故障问题,也不会带来有利于造假者的额外风险。
{"title":"Qualification and testing process to implement anti-counterfeiting technologies into IC packages","authors":"Nathalie Kae-Nune, Stephanie Pesseguier","doi":"10.7873/DATE.2013.237","DOIUrl":"https://doi.org/10.7873/DATE.2013.237","url":null,"abstract":"Counterfeiting is no longer limited to just fashion or luxury goods, the phenomenon has now reached electronics components which failure represents a high risk to the safety and security of human communities. One way for the semiconductor (SC) industry to fight against counterfeiting of electronic parts is to add technological innovation at the component level itself. The target is to enable the product authentication in a fast and reliable way. Because semiconductor manufacturing is a complex and delicate operation producing highly complex products which are sensitive to many environmental factors, any introduction of changes in its production - which the implementation of anti-counterfeiting (A/C) technologies must also comply to - must undergo thorough testing and qualification steps. This is mandatory to control the compliancy to the strict delivery requirements, quality and reliability level the industry has established, in line with the product performance specifications. This paper aims to explain the comprehensive requirements specification developed by members of semiconductor and related industries in Europe, to add authentication technologies solutions into IC packages. It also describes the qualification processes and testing plans to implement the most adequate and effective anti-counterfeiting technology (A/T). One of the main challenges in this A/C task is to make sure that the added A/C feature in electronic components does not create any additional reliability or failure issue, nor introduce additional risks that will benefit counterfeiters.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84165304","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
期刊
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1