首页 > 最新文献

2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)最新文献

英文 中文
Using cubes of non-state variables with Property Directed Reachability 使用具有属性定向可达性的非状态变量立方体
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.171
John D. Backes, Marc D. Riedel
A new SAT-Based algorithm for symbolic model checking has been gaining popularity. This algorithm, referred to as “Incremental Construction of Inductive Clauses for Indubitable Correctness” (IC3) or “Property Directed Reachability” (PDR), uses information learned from SAT instances of isolated time frames to either prove that an invariant exists, or provide a counter example. The information learned between each time frame is recorded in the form of cubes of the state variables. In this work, we study the effect of extending PDR to use cubes of intermediate variables representing the logic gates in the transition relation. We demonstrate that we can improve the runtime for satisfiable benchmarks by up to 3.2X, with an average speedup of 1.23X. Our approach also provides a speedup of up to 3.84X for unsatisfiable benchmarks.
一种新的基于sat的符号模型检验算法越来越受欢迎。这种算法,被称为“增量构建归纳子句的无可置疑的正确性”(IC3)或“属性定向可达性”(PDR),使用从孤立时间框架的SAT实例中学习到的信息来证明不变量的存在,或者提供一个反例。在每个时间框架之间学习到的信息以状态变量的立方体的形式记录下来。在这项工作中,我们研究了将PDR扩展为使用中间变量的立方体来表示转换关系中的逻辑门的效果。我们证明,我们可以将可满足基准测试的运行时提高3.2倍,平均速度提高1.23倍。我们的方法还为不令人满意的基准测试提供了高达3.84X的加速。
{"title":"Using cubes of non-state variables with Property Directed Reachability","authors":"John D. Backes, Marc D. Riedel","doi":"10.7873/DATE.2013.171","DOIUrl":"https://doi.org/10.7873/DATE.2013.171","url":null,"abstract":"A new SAT-Based algorithm for symbolic model checking has been gaining popularity. This algorithm, referred to as “Incremental Construction of Inductive Clauses for Indubitable Correctness” (IC3) or “Property Directed Reachability” (PDR), uses information learned from SAT instances of isolated time frames to either prove that an invariant exists, or provide a counter example. The information learned between each time frame is recorded in the form of cubes of the state variables. In this work, we study the effect of extending PDR to use cubes of intermediate variables representing the logic gates in the transition relation. We demonstrate that we can improve the runtime for satisfiable benchmarks by up to 3.2X, with an average speedup of 1.23X. Our approach also provides a speedup of up to 3.84X for unsatisfiable benchmarks.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83572360","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Advances in asynchronous logic: From principles to GALS & NoC, recent industry applications, and commercial CAD tools 异步逻辑的进展:从原理到GALS和NoC,最近的工业应用和商业CAD工具
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.346
A. Yakovlev, P. Vivet, M. Renaudin
The growing variability and complexity of advanced CMOS technologies makes the physical design of clocked logic in large Systems-on-Chip more and more challenging. Asynchronous logic has been studied for many years and become an attractive solution for a broad range of applications, from massively parallel multi-media systems to systems with ultra-low power & low-noise constraints, like cryptography, energy autonomous systems, and sensor-network nodes. The objective of this embedded tutorial is to give a comprehensive and recent overview of asynchronous logic. The tutorial will cover the basic principles and advantages of asynchronous logic, some insights on new research challenges, and will present the GALS scheme as an intermediate design style with recent results in asynchronous Network-on-Chip for future Many Core architectures. Regarding industrial acceptance, recent asynchronous logic applications within the microelectronics industry will be presented, with a main focus on the commercial CAD tools available today.
先进CMOS技术日益增长的可变性和复杂性使得大型片上系统中时钟逻辑的物理设计越来越具有挑战性。异步逻辑已经研究了多年,并成为广泛应用的一个有吸引力的解决方案,从大规模并行多媒体系统到具有超低功耗和低噪声约束的系统,如密码学,能源自治系统和传感器网络节点。本嵌入式教程的目的是提供异步逻辑的全面和最新概述。本教程将介绍异步逻辑的基本原理和优势,对新的研究挑战的一些见解,并将GALS方案作为一种中间设计风格,介绍未来多核架构中异步片上网络的最新成果。关于工业接受度,将介绍微电子工业中最近的异步逻辑应用,主要关注当今可用的商业CAD工具。
{"title":"Advances in asynchronous logic: From principles to GALS & NoC, recent industry applications, and commercial CAD tools","authors":"A. Yakovlev, P. Vivet, M. Renaudin","doi":"10.7873/DATE.2013.346","DOIUrl":"https://doi.org/10.7873/DATE.2013.346","url":null,"abstract":"The growing variability and complexity of advanced CMOS technologies makes the physical design of clocked logic in large Systems-on-Chip more and more challenging. Asynchronous logic has been studied for many years and become an attractive solution for a broad range of applications, from massively parallel multi-media systems to systems with ultra-low power & low-noise constraints, like cryptography, energy autonomous systems, and sensor-network nodes. The objective of this embedded tutorial is to give a comprehensive and recent overview of asynchronous logic. The tutorial will cover the basic principles and advantages of asynchronous logic, some insights on new research challenges, and will present the GALS scheme as an intermediate design style with recent results in asynchronous Network-on-Chip for future Many Core architectures. Regarding industrial acceptance, recent asynchronous logic applications within the microelectronics industry will be presented, with a main focus on the commercial CAD tools available today.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90179839","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 56
Explicit transient thermal simulation of liquid-cooled 3D ICs 液冷三维集成电路的显式瞬态热模拟
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.283
Alain Fourmigue, G. Beltrame, G. Nicolescu
The high heat flux and compact structure of three-dimensional circuits (3D ICs) make conventional air-cooled devices more subsceptible to overheating. Liquid cooling is an alternative that can improve heat dissipation, and reduce thermal issues. Fast and accurate thermal models are needed to appropriately dimension the cooling system at design time. Several models have been proposed to study different designs, but generally with low simulation performance. In this paper, we present an efficient model of the transient thermal behaviour of liquid-cooled 3D ICs. In our experiments, our approach is 60 times faster and uses 600 times less memory than state-of-the-art models, while maintaining the same level of accuracy.
三维电路的高热流密度和紧凑的结构使得传统的风冷器件更容易过热。液体冷却是一种替代方案,可以改善散热,减少热问题。在设计时,需要快速准确的热模型来适当地确定冷却系统的尺寸。人们提出了几种模型来研究不同的设计,但普遍具有较低的仿真性能。在本文中,我们提出了一个有效的液冷三维集成电路的瞬态热行为模型。在我们的实验中,我们的方法比最先进的模型快60倍,使用的内存少600倍,同时保持相同的精度水平。
{"title":"Explicit transient thermal simulation of liquid-cooled 3D ICs","authors":"Alain Fourmigue, G. Beltrame, G. Nicolescu","doi":"10.7873/DATE.2013.283","DOIUrl":"https://doi.org/10.7873/DATE.2013.283","url":null,"abstract":"The high heat flux and compact structure of three-dimensional circuits (3D ICs) make conventional air-cooled devices more subsceptible to overheating. Liquid cooling is an alternative that can improve heat dissipation, and reduce thermal issues. Fast and accurate thermal models are needed to appropriately dimension the cooling system at design time. Several models have been proposed to study different designs, but generally with low simulation performance. In this paper, we present an efficient model of the transient thermal behaviour of liquid-cooled 3D ICs. In our experiments, our approach is 60 times faster and uses 600 times less memory than state-of-the-art models, while maintaining the same level of accuracy.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90364363","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Non-invasive pre-bond TSV test using ring oscillators and multiple voltage levels 使用环形振荡器和多个电压水平的无创键前TSV测试
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.225
Sergej Deutsch, K. Chakrabarty
Defects in TSVs due to fabrication steps decrease the yield and reliability of 3D stacked ICs, hence these defects need to be screened early in the manufacturing flow. Before wafer thinning, TSVs are buried in silicon and cannot be mechanically contacted, which severely limits test access. Although TSVs become exposed after wafer thinning, probing on them is difficult because of TSV dimensions and the risk of probe-induced damage. To circumvent these problems, we propose a non-invasive method for pre-bond TSV test that does not require TSV probing. We use open TSVs as capacitive loads of their driving gates and measure the propagation delay by means of ring oscillators. Defects in TSVs cause variations in their RC parameters and therefore lead to variations in the propagation delay. By measuring these variations, we can detect resistive open and leakage faults. We exploit different voltage levels to increase the sensitivity of the test and its robustness against random process variations. Results on fault detection effectiveness are presented through HSPICE simulations using realistic models for 45nm CMOS technology. The estimated DfT area cost of our method is negligible for realistic dies.
由于制造步骤导致的tsv缺陷降低了3D堆叠ic的良率和可靠性,因此需要在制造流程的早期筛选这些缺陷。在晶圆变薄之前,tsv被埋在硅中,不能进行机械接触,这严重限制了测试的进入。虽然TSV在晶圆变薄后会暴露,但由于TSV的尺寸和探针损伤的风险,对其进行探测是困难的。为了避免这些问题,我们提出了一种不需要探测TSV的非侵入性粘接前TSV检测方法。我们使用开路tsv作为其驱动门的容性负载,并通过环形振荡器测量传播延迟。tsv的缺陷会引起其RC参数的变化,从而导致传播延迟的变化。通过测量这些变化,我们可以检测出电阻性开路和漏电故障。我们利用不同的电压水平来提高测试的灵敏度及其对随机过程变化的鲁棒性。利用45纳米CMOS技术的真实模型,通过HSPICE仿真给出了故障检测的有效性。估计的DfT面积成本,我们的方法是可以忽略不计的现实模具。
{"title":"Non-invasive pre-bond TSV test using ring oscillators and multiple voltage levels","authors":"Sergej Deutsch, K. Chakrabarty","doi":"10.7873/DATE.2013.225","DOIUrl":"https://doi.org/10.7873/DATE.2013.225","url":null,"abstract":"Defects in TSVs due to fabrication steps decrease the yield and reliability of 3D stacked ICs, hence these defects need to be screened early in the manufacturing flow. Before wafer thinning, TSVs are buried in silicon and cannot be mechanically contacted, which severely limits test access. Although TSVs become exposed after wafer thinning, probing on them is difficult because of TSV dimensions and the risk of probe-induced damage. To circumvent these problems, we propose a non-invasive method for pre-bond TSV test that does not require TSV probing. We use open TSVs as capacitive loads of their driving gates and measure the propagation delay by means of ring oscillators. Defects in TSVs cause variations in their RC parameters and therefore lead to variations in the propagation delay. By measuring these variations, we can detect resistive open and leakage faults. We exploit different voltage levels to increase the sensitivity of the test and its robustness against random process variations. Results on fault detection effectiveness are presented through HSPICE simulations using realistic models for 45nm CMOS technology. The estimated DfT area cost of our method is negligible for realistic dies.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90374731","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 35
Contrasting wavelength-routed optical NoC topologies for power-efficient 3d-stacked multicore processors using physical-layer analysis 使用物理层分析对比节能3d堆叠多核处理器的波长路由光学NoC拓扑
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.323
L. Ramini, P. Grani, S. Bartolini, D. Bertozzi
Optical networks-on-chip (ONoCs) are currently still in the concept stage, and would benefit from explorative studies capable of bridging the gap between abstract analysis frameworks and the constraints and challenges posed by the physical layer. This paper aims to go beyond the traditional comparison of wavelength-routed ONoC topologies based only on their abstract properties, and for the first time assesses their physical implementation efficiency in an homogeneous experimental setting of practical relevance. As a result, the paper can demonstrate the significant and different deviation of topology layouts from their logic schemes under the effect of placement constraints on the target system. This becomes then the preliminary step for the accurate characterization of technology-specific metrics such as the insertion loss critical path, and to derive the ultimate impact on power efficiency and feasibility of each design.
光片上网络(ONoCs)目前仍处于概念阶段,并且将受益于能够弥合抽象分析框架与物理层所带来的限制和挑战之间差距的探索性研究。本文旨在超越传统的仅基于其抽象特性的波长路由ONoC拓扑的比较,并首次在具有实际意义的同质实验环境中评估其物理实现效率。结果表明,在目标系统放置约束的影响下,拓扑布局与其逻辑方案存在显著的不同偏差。然后,这成为准确表征特定技术指标(如插入损耗关键路径)的初步步骤,并得出对每种设计的功率效率和可行性的最终影响。
{"title":"Contrasting wavelength-routed optical NoC topologies for power-efficient 3d-stacked multicore processors using physical-layer analysis","authors":"L. Ramini, P. Grani, S. Bartolini, D. Bertozzi","doi":"10.7873/DATE.2013.323","DOIUrl":"https://doi.org/10.7873/DATE.2013.323","url":null,"abstract":"Optical networks-on-chip (ONoCs) are currently still in the concept stage, and would benefit from explorative studies capable of bridging the gap between abstract analysis frameworks and the constraints and challenges posed by the physical layer. This paper aims to go beyond the traditional comparison of wavelength-routed ONoC topologies based only on their abstract properties, and for the first time assesses their physical implementation efficiency in an homogeneous experimental setting of practical relevance. As a result, the paper can demonstrate the significant and different deviation of topology layouts from their logic schemes under the effect of placement constraints on the target system. This becomes then the preliminary step for the accurate characterization of technology-specific metrics such as the insertion loss critical path, and to derive the ultimate impact on power efficiency and feasibility of each design.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90918246","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 56
Cyborg insects, neural interfaces and other things: Building interfaces between the synthetic and the multicellular 电子昆虫,神经接口和其他东西:在合成细胞和多细胞之间建立接口
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.314
J. Kleef, T. L. Massey, P. Ledochowitsch, R. Muller, R. Tiefenauer, T. Blanche, Hirotaka Sato, M. Maharbiz
In the original demonstration of insect flight control [2,4], flight initiation, cessation and elevation control were accomplished through neural stimulus of the brain which elicited, suppressed or modulated wing oscillation. Turns were triggered through the direct muscular stimulus of either of the basalar muscles. We characterized the response times, success rates, and free-flight trajectories elicited by our neural control systems in remotely controlled beetles.
在昆虫飞行控制的原始演示中[2,4],飞行的开始、停止和高度控制是通过大脑的神经刺激引起、抑制或调节翅膀的振荡来完成的。转动是通过任何一条基底肌的直接肌肉刺激而触发的。我们描述了远程控制甲虫的神经控制系统引发的反应时间、成功率和自由飞行轨迹。
{"title":"Cyborg insects, neural interfaces and other things: Building interfaces between the synthetic and the multicellular","authors":"J. Kleef, T. L. Massey, P. Ledochowitsch, R. Muller, R. Tiefenauer, T. Blanche, Hirotaka Sato, M. Maharbiz","doi":"10.7873/DATE.2013.314","DOIUrl":"https://doi.org/10.7873/DATE.2013.314","url":null,"abstract":"In the original demonstration of insect flight control [2,4], flight initiation, cessation and elevation control were accomplished through neural stimulus of the brain which elicited, suppressed or modulated wing oscillation. Turns were triggered through the direct muscular stimulus of either of the basalar muscles. We characterized the response times, success rates, and free-flight trajectories elicited by our neural control systems in remotely controlled beetles.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85294851","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Placement optimization of power supply pads based on locality 基于局部性的电源垫块放置优化
Pub Date : 2013-03-18 DOI: 10.5555/2485288.2485681
Pingqiang Zhou, Vivek Mishra, S. Sapatnekar
This paper presents an efficient algorithm for the placement of power supply pads in flip-chip packaging for high-performance VLSI circuits. The placement problem is formulated as a mixed-integer linear program (MILP), subject to the constraints on mean-time-to-failure (MTTF) for the pads and the voltage drop in the power grid. To improve the performance of the optimizer, the pad placement problem is solved based on the divide-and-conquer principle, and the locality properties of the power grid are exploited by modeling the distant nodes and sources coarsely, following the coarsening stage in multi-grid-like approach. An accurate electromigration (EM) model that captures current crowding and Joule heating effects is developed and integrated with our C4 placement approach. The effectiveness of the proposed approach is demonstrated on several designs adapted from publicly released benchmarks.
本文提出了一种在高性能超大规模集成电路倒装封装中放置电源衬垫的有效算法。该布局问题是一个混合整数线性规划(MILP),受衬垫平均故障时间(MTTF)和电网电压降的约束。为了提高优化器的性能,采用分而治之的方法求解垫块放置问题,并在多网格类方法的粗化阶段,通过对远端节点和源进行粗化建模,利用电网的局部性特性。开发了一个精确的电迁移(EM)模型,可以捕获电流拥挤和焦耳热效应,并将其与我们的C4放置方法集成在一起。所提出的方法的有效性在几个设计上得到了证明,这些设计改编自公开发布的基准测试。
{"title":"Placement optimization of power supply pads based on locality","authors":"Pingqiang Zhou, Vivek Mishra, S. Sapatnekar","doi":"10.5555/2485288.2485681","DOIUrl":"https://doi.org/10.5555/2485288.2485681","url":null,"abstract":"This paper presents an efficient algorithm for the placement of power supply pads in flip-chip packaging for high-performance VLSI circuits. The placement problem is formulated as a mixed-integer linear program (MILP), subject to the constraints on mean-time-to-failure (MTTF) for the pads and the voltage drop in the power grid. To improve the performance of the optimizer, the pad placement problem is solved based on the divide-and-conquer principle, and the locality properties of the power grid are exploited by modeling the distant nodes and sources coarsely, following the coarsening stage in multi-grid-like approach. An accurate electromigration (EM) model that captures current crowding and Joule heating effects is developed and integrated with our C4 placement approach. The effectiveness of the proposed approach is demonstrated on several designs adapted from publicly released benchmarks.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78050457","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Automated determination of Top Level Control Signals 自动确定最高电平控制信号
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.115
R. Jain, Praveen Tiwari, Soumen Ghosh
During various stages of hardware design, different types of control signals get introduced; clock, reset are specified and connected at the RTL stage whereas signals like scan enable, isolation enable, power switch enable get added to implemented devices later in the flow.
在硬件设计的各个阶段,引入了不同类型的控制信号;时钟、复位是在RTL阶段指定并连接的,而扫描使能、隔离使能、电源开关使能等信号则在稍后的流程中添加到实现的设备中。
{"title":"Automated determination of Top Level Control Signals","authors":"R. Jain, Praveen Tiwari, Soumen Ghosh","doi":"10.7873/DATE.2013.115","DOIUrl":"https://doi.org/10.7873/DATE.2013.115","url":null,"abstract":"During various stages of hardware design, different types of control signals get introduced; clock, reset are specified and connected at the RTL stage whereas signals like scan enable, isolation enable, power switch enable get added to implemented devices later in the flow.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80929235","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Low cost permanent fault detection using ultra-reduced instruction set co-processors 使用超精简指令集协处理器的低成本永久故障检测
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.196
S. Ananthanarayanan, S. Garg, Hiren D. Patel
In this paper, we propose a new, low hardware overhead solution for permanent fault detection at the micro-architecture/instruction level. The proposed technique is based on an ultra-reduced instruction set co-processor (URISC) that, in its simplest form, executes only one Turing complete instruction — the subleq instruction. Thus, any instruction on the main core can be redundantly executed on the URISC using a sequence of subleq instructions, and the results can be compared, also on the URISC, to detect faults. A number of novel software and hardware techniques are proposed to decrease the performance overhead of online fault detection while keeping the error detection latency bounded including: (i) URISC routines and hardware support to check both control and data flow instructions; (ii) checking only a subset of instructions in the code based on a novel check window criterion; and (iii) URISC instruction set extensions. Our experimental results, based on FPGA synthesis and RTL simulations, illustrate the benefits of the proposed techniques.
在本文中,我们提出了一种新的、低硬件开销的解决方案,用于微架构/指令级的永久故障检测。所提出的技术是基于一个超精简指令集协处理器(URISC),以其最简单的形式,只执行一个图灵完全指令-子指令。因此,主内核上的任何指令都可以使用子指令序列在URISC上冗余执行,并且可以比较结果,也可以在URISC上比较,以检测故障。提出了一些新的软件和硬件技术来降低在线故障检测的性能开销,同时保持错误检测延迟有限,包括:(i) URISC例程和硬件支持来检查控制和数据流指令;(ii)基于一种新的检查窗口准则只检查代码中的指令子集;(iii) URISC指令集扩展。我们的实验结果,基于FPGA合成和RTL仿真,说明了所提出的技术的好处。
{"title":"Low cost permanent fault detection using ultra-reduced instruction set co-processors","authors":"S. Ananthanarayanan, S. Garg, Hiren D. Patel","doi":"10.7873/DATE.2013.196","DOIUrl":"https://doi.org/10.7873/DATE.2013.196","url":null,"abstract":"In this paper, we propose a new, low hardware overhead solution for permanent fault detection at the micro-architecture/instruction level. The proposed technique is based on an ultra-reduced instruction set co-processor (URISC) that, in its simplest form, executes only one Turing complete instruction — the subleq instruction. Thus, any instruction on the main core can be redundantly executed on the URISC using a sequence of subleq instructions, and the results can be compared, also on the URISC, to detect faults. A number of novel software and hardware techniques are proposed to decrease the performance overhead of online fault detection while keeping the error detection latency bounded including: (i) URISC routines and hardware support to check both control and data flow instructions; (ii) checking only a subset of instructions in the code based on a novel check window criterion; and (iii) URISC instruction set extensions. Our experimental results, based on FPGA synthesis and RTL simulations, illustrate the benefits of the proposed techniques.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76084141","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Optical Look Up Table 光学查表
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.184
Zhen Li, S. L. Beux, C. Monat, X. Letartre, I. O’Connor
The computation capacity of conventional FPGAs is directly proportional to the size and expressive power of Look Up Table (LUT) resources. Individual LUT performance is limited by transistor switching time and power dissipation, defined by the CMOS fabrication process. In this paper we propose OLUT, an optical core implementation of LUT, which has the potential for low latency and low power computation. In addition, the use of Wavelength Division Multiplexing (WDM) allows parallel computation, which can further increase computation capacity. Preliminary experimental results demonstrate the potential for optically assisted on-chip computation.
传统fpga的计算能力与查找表(LUT)资源的大小和表达能力成正比。单个LUT性能受到晶体管开关时间和功耗的限制,这是由CMOS制造工艺决定的。在本文中,我们提出了OLUT, LUT的光核实现,具有低延迟和低功耗计算的潜力。此外,使用波分复用(WDM)允许并行计算,这可以进一步提高计算能力。初步的实验结果证明了光辅助片上计算的潜力。
{"title":"Optical Look Up Table","authors":"Zhen Li, S. L. Beux, C. Monat, X. Letartre, I. O’Connor","doi":"10.7873/DATE.2013.184","DOIUrl":"https://doi.org/10.7873/DATE.2013.184","url":null,"abstract":"The computation capacity of conventional FPGAs is directly proportional to the size and expressive power of Look Up Table (LUT) resources. Individual LUT performance is limited by transistor switching time and power dissipation, defined by the CMOS fabrication process. In this paper we propose OLUT, an optical core implementation of LUT, which has the potential for low latency and low power computation. In addition, the use of Wavelength Division Multiplexing (WDM) allows parallel computation, which can further increase computation capacity. Preliminary experimental results demonstrate the potential for optically assisted on-chip computation.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76227922","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
期刊
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1