首页 > 最新文献

2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)最新文献

英文 中文
A low-swing crossbar and link generator for low-power networks-on-chip 用于低功耗片上网络的低摆幅横杆和链路发生器
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105418
C. Chen, Sunghyun Park, T. Krishna, L. Peh
Networks-on-Chip (NoCs) are emerging as the answer to non-scalable buses for connecting multiple cores in Chip Multi Processors (CMPs), and multiple IP blocks in Multi Processor Systems-on-Chip (MPSoCs). These networks require an extremely low-power datapath to ensure sustained scalability, and higher performance/watt. Crossbars and links form the core of a network datapath, and integrating low-swing links within these will reduce power significantly. Low-swing links however require significant custom circuit design effort to deliver good power efficiency and high bit rate, in the face of noise. As a result, low-swing links have not been able to make it to mainstream chips which rely on crossbar and link generators from RTL. In this paper, we present a datapath generator that creates automated layouts for crossbars with noise-robust low-swing links within them. To the best of our knowledge, this is the first crossbar generator that (1) creates layouts, instead of generating just synthesizable RTL; and (2) integrates noise-robust low-swing links in an automated manner. We demonstrate our generated datapath in a fully-synthesized NoC router, and observe 50% power reduction on datapath.
片上网络(noc)正在成为连接芯片多处理器(cmp)中的多个核心和多处理器片上系统(mpsoc)中的多个IP块的不可扩展总线的答案。这些网络需要极低功耗的数据路径,以确保持续的可扩展性和更高的性能/瓦特。横杆和链路构成了网络数据路径的核心,在其中集成低摆幅链路将显著降低功耗。然而,面对噪声,低摆幅链路需要大量的定制电路设计工作来提供良好的功率效率和高比特率。因此,低摆幅链路还不能使其成为主流芯片,依赖于RTL的横杆和链路发生器。在本文中,我们提出了一个数据路径生成器,它可以为交叉杆创建自动布局,其中包含噪声鲁棒的低摆幅链路。据我们所知,这是第一个crossbar生成器(1)创建布局,而不是生成可合成的RTL;(2)以自动化的方式集成了抗噪声低摆链路。我们在完全合成的NoC路由器中演示了我们生成的数据路径,并观察到数据路径的功耗降低了50%。
{"title":"A low-swing crossbar and link generator for low-power networks-on-chip","authors":"C. Chen, Sunghyun Park, T. Krishna, L. Peh","doi":"10.1109/ICCAD.2011.6105418","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105418","url":null,"abstract":"Networks-on-Chip (NoCs) are emerging as the answer to non-scalable buses for connecting multiple cores in Chip Multi Processors (CMPs), and multiple IP blocks in Multi Processor Systems-on-Chip (MPSoCs). These networks require an extremely low-power datapath to ensure sustained scalability, and higher performance/watt. Crossbars and links form the core of a network datapath, and integrating low-swing links within these will reduce power significantly. Low-swing links however require significant custom circuit design effort to deliver good power efficiency and high bit rate, in the face of noise. As a result, low-swing links have not been able to make it to mainstream chips which rely on crossbar and link generators from RTL. In this paper, we present a datapath generator that creates automated layouts for crossbars with noise-robust low-swing links within them. To the best of our knowledge, this is the first crossbar generator that (1) creates layouts, instead of generating just synthesizable RTL; and (2) integrates noise-robust low-swing links in an automated manner. We demonstrate our generated datapath in a fully-synthesized NoC router, and observe 50% power reduction on datapath.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86135498","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
A jumper insertion algorithm under antenna ratio and timing constraints 天线比和时序约束下的跳线插入算法
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105344
X. Gao, L. Macchiarulo
In this paper, we propose a jumper insertion algorithm under timing and antenna ratio constraints. Differently from the existing works which assume the jumpers to be placed above the highest layer of a routing tree, our work allows the jumpers to be placed on any routing layer. Furthermore, our algorithm is aware of the delay caused by the jumpers. Experimental results show that, by allowing the jumpers to be placed on any layer, the number of vias added by the jumpers can be reduced by 50%. The experiments also show that our timing-aware jumper insertion algorithm is better at satisfying the timing constraints.
本文提出了一种定时和天线比约束下的跳线插入算法。与现有的假设跳线放置在路由树的最高层之上的工作不同,我们的工作允许跳线放置在任何路由层上。此外,我们的算法意识到由跳线引起的延迟。实验结果表明,允许跳线放置在任意层上,跳线所增加的通孔数量可减少50%。实验还表明,我们的时间感知跳线插入算法能更好地满足时间约束。
{"title":"A jumper insertion algorithm under antenna ratio and timing constraints","authors":"X. Gao, L. Macchiarulo","doi":"10.1109/ICCAD.2011.6105344","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105344","url":null,"abstract":"In this paper, we propose a jumper insertion algorithm under timing and antenna ratio constraints. Differently from the existing works which assume the jumpers to be placed above the highest layer of a routing tree, our work allows the jumpers to be placed on any routing layer. Furthermore, our algorithm is aware of the delay caused by the jumpers. Experimental results show that, by allowing the jumpers to be placed on any layer, the number of vias added by the jumpers can be reduced by 50%. The experiments also show that our timing-aware jumper insertion algorithm is better at satisfying the timing constraints.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78322302","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Reliability-oriented broadcast electrode-addressing for pin-constrained digital microfluidic biochips 引脚受限数字微流控生物芯片面向可靠性的广播电极寻址
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105367
Tsung-Wei Huang, Tsung-Yi Ho, K. Chakrabarty
Designs for pin-constrained digital microfluidic biochips (PDMFBs) are receiving much attention because they simplify chip fabrication and packaging, and reduce product cost. To reduce the pin count, broadcast addressing, by minimally grouping electrode sets with non-conflict signal merging, has emerged as a promising solution. Nevertheless, naive signal merging has the potential to cause excessive electrode actuations, which has been reported to have direct and adverse effect on chip reliability. According to recent studies, reliability is an important attribute for PDMFBs particularly developed for medical applications as it directly affects the final medical decision making. However, no research findings have been reported on the reliability problem in pin-constrained designs. To make PDMFBs more feasible for practical applications, we propose in this paper the first matching-based reliability-oriented broadcast-addressing algorithm for PDMFBs. We identify the factors that affect reliability and incorporate into the design-technique attributes that enhance reliability. Experimental results demonstrate the effectiveness of the proposed algorithm.
引脚受限型数字微流控生物芯片(pdmfb)的设计因其简化了芯片制造和封装,降低了产品成本而备受关注。为了减少引脚数,广播寻址,通过最小化分组电极集与无冲突的信号合并,已成为一个有前途的解决方案。然而,单纯的信号合并有可能导致过度的电极驱动,这对芯片的可靠性有直接和不利的影响。根据最近的研究,可靠性是专门为医疗应用开发的pdmfb的一个重要属性,因为它直接影响最终的医疗决策。然而,目前还没有关于针约束设计的可靠性问题的研究成果。为了使pdmfb在实际应用中更具可行性,本文提出了首个基于匹配的pdmfb面向可靠性的广播寻址算法。我们确定了影响可靠性的因素,并将其纳入提高可靠性的设计技术属性中。实验结果证明了该算法的有效性。
{"title":"Reliability-oriented broadcast electrode-addressing for pin-constrained digital microfluidic biochips","authors":"Tsung-Wei Huang, Tsung-Yi Ho, K. Chakrabarty","doi":"10.1109/ICCAD.2011.6105367","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105367","url":null,"abstract":"Designs for pin-constrained digital microfluidic biochips (PDMFBs) are receiving much attention because they simplify chip fabrication and packaging, and reduce product cost. To reduce the pin count, broadcast addressing, by minimally grouping electrode sets with non-conflict signal merging, has emerged as a promising solution. Nevertheless, naive signal merging has the potential to cause excessive electrode actuations, which has been reported to have direct and adverse effect on chip reliability. According to recent studies, reliability is an important attribute for PDMFBs particularly developed for medical applications as it directly affects the final medical decision making. However, no research findings have been reported on the reliability problem in pin-constrained designs. To make PDMFBs more feasible for practical applications, we propose in this paper the first matching-based reliability-oriented broadcast-addressing algorithm for PDMFBs. We identify the factors that affect reliability and incorporate into the design-technique attributes that enhance reliability. Experimental results demonstrate the effectiveness of the proposed algorithm.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85620104","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 47
A low-power memory architecture with application-aware power management for motion & disparity estimation in Multiview Video Coding 多视点视频编码中运动和视差估计的低功耗存储器架构
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105303
B. Zatt, M. Shafique, S. Bampi, J. Henkel
A low-power architecture for an on-chip multi-banked video memory for motion and disparity estimation in Multiview Video Coding is proposed. The memory organization (size, banks, sectors, etc.) is driven by an extensive analysis of memory-usage behavior for various 3D-video sequences. Considering a multiple-sleep state model, an application-aware power management scheme is employed to reduce the leakage energy of the on-chip memory. The knowledge of motion and disparity estimation algorithm in conjunction with video properties are considered to predict the memory requirements of each Macroblock. A cost function is evaluated to determine an appropriate sleep mode for the idle memory sectors, while considering the wakeup overhead (latency and energy). The complete motion and disparity estimation architecture is implemented in a 65nm low power IBM technology. The experiments (for various test video sequences) demonstrate that our architecture provides up to 80% leakage energy reduction compared to state-of-the-art. Our scheme processes motion and disparity estimation of four HD1080p views encoding at 30fps with a power consumption of 57mW.
针对多视点视频编码中的运动和视差估计问题,提出了一种低功耗的片上多组视频存储器结构。内存组织(大小,银行,扇区等)是由对各种3d视频序列的内存使用行为的广泛分析驱动的。考虑到多睡眠状态模型,采用了一种应用感知的电源管理方案来降低片上存储器的泄漏能量。利用运动知识和视差估计算法,结合视频属性来预测每个Macroblock的内存需求。在考虑唤醒开销(延迟和能量)的同时,评估成本函数以确定空闲内存扇区的适当睡眠模式。完整的运动和视差估计架构采用65nm低功耗IBM技术实现。实验(针对各种测试视频序列)表明,与最先进的技术相比,我们的架构可减少高达80%的泄漏能量。我们的方案处理以30fps编码的四个HD1080p视图的运动和视差估计,功耗为57mW。
{"title":"A low-power memory architecture with application-aware power management for motion & disparity estimation in Multiview Video Coding","authors":"B. Zatt, M. Shafique, S. Bampi, J. Henkel","doi":"10.1109/ICCAD.2011.6105303","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105303","url":null,"abstract":"A low-power architecture for an on-chip multi-banked video memory for motion and disparity estimation in Multiview Video Coding is proposed. The memory organization (size, banks, sectors, etc.) is driven by an extensive analysis of memory-usage behavior for various 3D-video sequences. Considering a multiple-sleep state model, an application-aware power management scheme is employed to reduce the leakage energy of the on-chip memory. The knowledge of motion and disparity estimation algorithm in conjunction with video properties are considered to predict the memory requirements of each Macroblock. A cost function is evaluated to determine an appropriate sleep mode for the idle memory sectors, while considering the wakeup overhead (latency and energy). The complete motion and disparity estimation architecture is implemented in a 65nm low power IBM technology. The experiments (for various test video sequences) demonstrate that our architecture provides up to 80% leakage energy reduction compared to state-of-the-art. Our scheme processes motion and disparity estimation of four HD1080p views encoding at 30fps with a power consumption of 57mW.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86302927","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Variation-aware electromigration analysis of power/ground networks 电力/地网络的变化感知电迁移分析
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105387
Di-An Li, M. Marek-Sadowska
Due to shrinking wire dimensions, higher current density, and process variations, electromigration (EM) has become a major reliability problem. The existing backend design flows use the maximum allowed current density as the only practical guidance to prevent EM. There is a need for tools capable of performing comprehensive EM analyses. In this paper, we first explain why current density alone does not determine wire's susceptibility to EM. We introduce our variation-aware EM analysis tool, VEMA, for power/ground networks, which are typically the EM-critical parts of a chip. Our tool considers two types of variations: circuit-level and wire geometry-level. VEMA reports distributions of wire lifetimes for circuit-level variations. Compared to existing EM analyzer SysRel, VEMA filters out EM-immortal wires more efficiently and provides detailed feedback for EM violation corrections. VEMA also provides information of geometry-level tolerance for EM-mortal wires.
由于线材尺寸缩小、电流密度增大和工艺变化,电迁移(EM)已成为主要的可靠性问题。现有的后端设计流程使用最大允许电流密度作为防止电磁干扰的唯一实用指导。因此需要能够执行全面电磁干扰分析的工具。在本文中,我们首先解释了为什么电流密度本身并不能决定导线对电磁的易感性。我们介绍了我们的变化感知电磁分析工具VEMA,用于电源/地网络,这通常是芯片的电磁关键部分。我们的工具考虑了两种类型的变化:电路级和电线几何级。VEMA报告了电路级变化的电线寿命分布。与现有的电磁分析仪SysRel相比,VEMA更有效地过滤出电磁不灭线,并为电磁违规纠正提供详细的反馈。VEMA还提供了电磁致死导线的几何级公差信息。
{"title":"Variation-aware electromigration analysis of power/ground networks","authors":"Di-An Li, M. Marek-Sadowska","doi":"10.1109/ICCAD.2011.6105387","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105387","url":null,"abstract":"Due to shrinking wire dimensions, higher current density, and process variations, electromigration (EM) has become a major reliability problem. The existing backend design flows use the maximum allowed current density as the only practical guidance to prevent EM. There is a need for tools capable of performing comprehensive EM analyses. In this paper, we first explain why current density alone does not determine wire's susceptibility to EM. We introduce our variation-aware EM analysis tool, VEMA, for power/ground networks, which are typically the EM-critical parts of a chip. Our tool considers two types of variations: circuit-level and wire geometry-level. VEMA reports distributions of wire lifetimes for circuit-level variations. Compared to existing EM analyzer SysRel, VEMA filters out EM-immortal wires more efficiently and provides detailed feedback for EM violation corrections. VEMA also provides information of geometry-level tolerance for EM-mortal wires.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84478971","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Structure preserving reduced-order modeling of linear periodic time-varying systems 线性周期时变系统的保结构降阶建模
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105355
Ting Mei, H. Thornquist, E. Keiter, S. Hutchinson
Many subsystems encountered in communication systems can be modeled as linear periodic time-varyiing (LPTV) systems. In this paper, we present a novel structure preserving reduced-order modeling algorithm for LPTV systems. A key advance of our approach is that it preserves the periodic time-varying structure during the reduction process, thus resulting in reduced LPTV systems. Unlike prior LPTV model order reduction (MOR) techniques which recast the LPTV systems to artificial linear time-invariant (LTI) systems and apply LTI MOR techniques for reduction, our structure preserving algorithm uses a time-varying projection directly on the original LPTV systems. Our approach always produces a smaller system than the original system, which was not valid for previous LPTV MOR techniques. We validate the proposed technique with several circuit examples, demonstrating significant size reductions and excellent accuracy.
通信系统中的许多子系统都可以建模为线性周期时变系统。本文提出了一种新的LPTV系统保结构降阶建模算法。我们的方法的一个关键的进步是,它保留了周期时变结构在还原过程中,从而导致减少LPTV系统。与先前的LPTV模型降阶(MOR)技术不同,我们的结构保持算法直接在原始LPTV系统上使用时变投影,将LPTV系统重新转换为人工线性时不变(LTI)系统并应用LTI MOR技术进行降阶。我们的方法总是产生比原始系统更小的系统,这对于以前的LPTV MOR技术是无效的。我们用几个电路实例验证了所提出的技术,证明了显着的尺寸减小和出色的准确性。
{"title":"Structure preserving reduced-order modeling of linear periodic time-varying systems","authors":"Ting Mei, H. Thornquist, E. Keiter, S. Hutchinson","doi":"10.1109/ICCAD.2011.6105355","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105355","url":null,"abstract":"Many subsystems encountered in communication systems can be modeled as linear periodic time-varyiing (LPTV) systems. In this paper, we present a novel structure preserving reduced-order modeling algorithm for LPTV systems. A key advance of our approach is that it preserves the periodic time-varying structure during the reduction process, thus resulting in reduced LPTV systems. Unlike prior LPTV model order reduction (MOR) techniques which recast the LPTV systems to artificial linear time-invariant (LTI) systems and apply LTI MOR techniques for reduction, our structure preserving algorithm uses a time-varying projection directly on the original LPTV systems. Our approach always produces a smaller system than the original system, which was not valid for previous LPTV MOR techniques. We validate the proposed technique with several circuit examples, demonstrating significant size reductions and excellent accuracy.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89635670","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
The future of clock network synthesis 时钟网络合成的未来
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105339
C. Sze
The clock distribution network presents one of the most important design challenges in high-performance synchronous VLSI designs. However, automation in clock network synthesis is usually limited to local clock domains for two main reasons. (1) Global clock is too important for designers to take the risk of adopting a fully automated clocking flow. (2) Unlike in other EDA areas (such as synthesis/placement/routing), clock synthesis tools are highly tied to clock network topologies, ground/power planning, clock gating, macro floorplanning, clocking methodologies, etc. It is thus very difficult to implement a set of generic clock synthesis tools for design productivity considerations. That being said, industrial clocking methodologies usually resort to overdesigning because clock synthesis is just too critical to fail.
时钟分配网络是高性能同步VLSI设计中最重要的设计挑战之一。然而,由于两个主要原因,时钟网络合成的自动化通常局限于本地时钟域。(1)全局时钟太重要了,设计师不能冒险采用完全自动化的时钟流程。(2)与其他EDA领域(如合成/放置/路由)不同,时钟合成工具与时钟网络拓扑、接地/电源规划、时钟门控、宏观平面规划、时钟方法等高度相关。因此,要实现一套通用的时钟合成工具以提高设计效率是非常困难的。也就是说,工业时钟方法通常求助于过度设计,因为时钟合成太关键了,不能失败。
{"title":"The future of clock network synthesis","authors":"C. Sze","doi":"10.1109/ICCAD.2011.6105339","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105339","url":null,"abstract":"The clock distribution network presents one of the most important design challenges in high-performance synchronous VLSI designs. However, automation in clock network synthesis is usually limited to local clock domains for two main reasons. (1) Global clock is too important for designers to take the risk of adopting a fully automated clocking flow. (2) Unlike in other EDA areas (such as synthesis/placement/routing), clock synthesis tools are highly tied to clock network topologies, ground/power planning, clock gating, macro floorplanning, clocking methodologies, etc. It is thus very difficult to implement a set of generic clock synthesis tools for design productivity considerations. That being said, industrial clocking methodologies usually resort to overdesigning because clock synthesis is just too critical to fail.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82710853","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
In-system and on-the-fly clock tuning mechanism to combat lifetime performance degradation 系统内和动态时钟调优机制,以对抗生命周期性能下降
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105365
Zahra Lak, N. Nicolici
Addressing lifetime performance degradation caused by circuit ageing has been a topic of active research for the past few years. In this paper we present a different perspective to this problem, by leveraging the presence of clock tuning elements that are commonly available in high-performance designs. By combining clock tuning elements with on-chip sensors for predicting setup/hold-time violations, we introduce a new clock tuning mechanism that operates on-the-fly and it maintains the maximum achievable performance in-system for each circuit sample affected by ageing.
在过去的几年里,解决由电路老化引起的寿命性能下降一直是一个活跃的研究课题。在本文中,我们通过利用在高性能设计中通常可用的时钟调谐元件的存在,对这个问题提出了不同的观点。通过将时钟调谐元件与用于预测设置/保持时间违规的片上传感器相结合,我们引入了一种新的时钟调谐机制,该机制可以实时运行,并且对于受老化影响的每个电路样本保持系统内可实现的最大性能。
{"title":"In-system and on-the-fly clock tuning mechanism to combat lifetime performance degradation","authors":"Zahra Lak, N. Nicolici","doi":"10.1109/ICCAD.2011.6105365","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105365","url":null,"abstract":"Addressing lifetime performance degradation caused by circuit ageing has been a topic of active research for the past few years. In this paper we present a different perspective to this problem, by leveraging the presence of clock tuning elements that are commonly available in high-performance designs. By combining clock tuning elements with on-chip sensors for predicting setup/hold-time violations, we introduce a new clock tuning mechanism that operates on-the-fly and it maintains the maximum achievable performance in-system for each circuit sample affected by ageing.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85950160","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
CACTI-P: Architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques caci - p:基于sram的结构的架构级建模,具有先进的减少泄漏技术
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105405
Sheng Li, Ke Chen, Jung Ho Ahn, J. Brockman, N. Jouppi
This paper introduces CACTI-P, the first architecture-level integrated power, area, and timing modeling framework for SRAM-based structures with advanced leakage power reduction techniques. CACTI-P supports modeling of major leakage power reduction approaches including power-gating, long channel devices, and Hi-k metal gate devices. Because it accounts for implementation overheads, CACTI-P enables in-depth study of architecture-level tradeoffs for advanced leakage power management schemes. We illustrate the potential applicability of CACTI-P in the design and analysis of leakage power reduction techniques of future manycore processors by applying nanosecond scale power-gating to different levels of cache for a 64 core multithreaded architecture at the 22nm technology. Combining results from CACTI-P and a performance simulator, we find that although nanosecond scale power-gating is a powerful way to minimize leakage power for all levels of caches, its severe impacts on processor performance and energy when being used for L1 data caches make nanosecond scale power-gating a better fit for caches closer to main memory.
本文介绍了caci - p,这是基于sram的结构的第一个架构级集成功率,面积和时序建模框架,具有先进的泄漏功率降低技术。caci - p支持主要泄漏功率降低方法的建模,包括功率门控、长通道器件和Hi-k金属栅极器件。由于caci - p考虑了实现开销,因此可以对高级泄漏电源管理方案的架构级权衡进行深入研究。我们通过在22nm技术下对64核多线程架构的不同级别缓存应用纳秒级功率门控,说明了caci - p在未来多核处理器的泄漏功耗降低技术设计和分析中的潜在应用。结合caci - p和性能模拟器的结果,我们发现尽管纳秒级功率门控是最小化所有级别缓存泄漏功率的强大方法,但当用于L1数据缓存时,它对处理器性能和能量的严重影响使得纳秒级功率门控更适合靠近主存储器的缓存。
{"title":"CACTI-P: Architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques","authors":"Sheng Li, Ke Chen, Jung Ho Ahn, J. Brockman, N. Jouppi","doi":"10.1109/ICCAD.2011.6105405","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105405","url":null,"abstract":"This paper introduces CACTI-P, the first architecture-level integrated power, area, and timing modeling framework for SRAM-based structures with advanced leakage power reduction techniques. CACTI-P supports modeling of major leakage power reduction approaches including power-gating, long channel devices, and Hi-k metal gate devices. Because it accounts for implementation overheads, CACTI-P enables in-depth study of architecture-level tradeoffs for advanced leakage power management schemes. We illustrate the potential applicability of CACTI-P in the design and analysis of leakage power reduction techniques of future manycore processors by applying nanosecond scale power-gating to different levels of cache for a 64 core multithreaded architecture at the 22nm technology. Combining results from CACTI-P and a performance simulator, we find that although nanosecond scale power-gating is a powerful way to minimize leakage power for all levels of caches, its severe impacts on processor performance and energy when being used for L1 data caches make nanosecond scale power-gating a better fit for caches closer to main memory.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77242837","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 227
Full-chip through-silicon-via interfacial crack analysis and optimization for 3D IC 三维集成电路全片通硅孔界面裂纹分析与优化
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105386
Moongon Jung, Xi Liu, S. Sitaraman, D. Pan, S. Lim
In this work, we propose an efficient and accurate full-chip through-silicon-via (TSV) interfacial crack analysis flow and design optimization methodology to alleviate TSV interfacial crack problems in 3D ICs. First, we analyze TSV interfacial crack at TSV/dielectric liner interface caused by TSV-induced thermo-mechanical stress. Then, we explore the impact of TSV placement in conjunction with various associated structures such as landing pad and dielectric liner on TSV interfacial crack. Next, we present a full-chip TSV interfacial crack analysis methodology based on design of experiments (DOE) and response surface method (RSM). Finally, we propose a design optimization methodology to mitigate the mechanical reliability problems in 3D ICs.
在这项工作中,我们提出了一种高效准确的全芯片通硅孔(TSV)界面裂纹分析流程和设计优化方法,以缓解3D集成电路中的TSV界面裂纹问题。首先,我们分析了TSV引起的热机械应力在TSV/介质衬里界面引起的TSV界面裂纹。然后,我们探讨了TSV放置以及各种相关结构(如着陆垫和介电衬垫)对TSV界面裂纹的影响。接下来,我们提出了一种基于实验设计(DOE)和响应面法(RSM)的全芯片TSV界面裂纹分析方法。最后,我们提出了一种设计优化方法来缓解3D集成电路的机械可靠性问题。
{"title":"Full-chip through-silicon-via interfacial crack analysis and optimization for 3D IC","authors":"Moongon Jung, Xi Liu, S. Sitaraman, D. Pan, S. Lim","doi":"10.1109/ICCAD.2011.6105386","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105386","url":null,"abstract":"In this work, we propose an efficient and accurate full-chip through-silicon-via (TSV) interfacial crack analysis flow and design optimization methodology to alleviate TSV interfacial crack problems in 3D ICs. First, we analyze TSV interfacial crack at TSV/dielectric liner interface caused by TSV-induced thermo-mechanical stress. Then, we explore the impact of TSV placement in conjunction with various associated structures such as landing pad and dielectric liner on TSV interfacial crack. Next, we present a full-chip TSV interfacial crack analysis methodology based on design of experiments (DOE) and response surface method (RSM). Finally, we propose a design optimization methodology to mitigate the mechanical reliability problems in 3D ICs.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75899887","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 43
期刊
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1