首页 > 最新文献

2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)最新文献

英文 中文
Alternative design methodologies for the next generation logic switch 下一代逻辑开关的备选设计方法
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105331
D. Sacchetto, M. D. Marchi, G. Micheli, Y. Leblebici
Next generation logic switch devices are expected to rely on radically new technologies mainly due to the increasing difficulties and limitations of state-of-the-art CMOS switches, which, in turn, will also require innovative design methodologies that are distinctly different from those used for CMOS technologies. In this paper, three alternative emerging technologies are showcased in terms of their requirements for design implementation and in terms of potential advantages. First, a CMOS evolutionary approach based on vertically-stacked gate-all-around Si nanowire FETs is discussed. Next, an alternative design methodology based on ambipolar carbon nanotube FETs is presented. Finally, a novel approach based on the recently discovered memristive devices is presented, offering the possibility of combining memory and logic functions.
下一代逻辑开关器件预计将依赖于全新的技术,主要是由于最先进的CMOS开关越来越困难和限制,这反过来也将需要与CMOS技术明显不同的创新设计方法。在本文中,根据设计实现的要求和潜在的优势,展示了三种可供选择的新兴技术。首先,讨论了一种基于垂直堆叠栅极全能硅纳米线场效应管的CMOS进化方法。接下来,提出了一种基于双极性碳纳米管场效应管的替代设计方法。最后,提出了一种基于新发现的忆阻器件的新方法,提供了将存储和逻辑功能结合起来的可能性。
{"title":"Alternative design methodologies for the next generation logic switch","authors":"D. Sacchetto, M. D. Marchi, G. Micheli, Y. Leblebici","doi":"10.1109/ICCAD.2011.6105331","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105331","url":null,"abstract":"Next generation logic switch devices are expected to rely on radically new technologies mainly due to the increasing difficulties and limitations of state-of-the-art CMOS switches, which, in turn, will also require innovative design methodologies that are distinctly different from those used for CMOS technologies. In this paper, three alternative emerging technologies are showcased in terms of their requirements for design implementation and in terms of potential advantages. First, a CMOS evolutionary approach based on vertically-stacked gate-all-around Si nanowire FETs is discussed. Next, an alternative design methodology based on ambipolar carbon nanotube FETs is presented. Finally, a novel approach based on the recently discovered memristive devices is presented, offering the possibility of combining memory and logic functions.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72938336","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Assuring application-level correctness against soft errors 确保应用程序级别对软错误的正确性
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105319
J. Cong, Karthik Gururaj
Traditionally, research in fault tolerance has required architectural state to be numerically perfect for program execution to be correct. However, in many programs, even if execution is not 100% numerically correct, the program can still appear to execute correctly from the user's perspective. To quantify user satisfaction, application-level fidelity metrics (such as PSNR) can be used. The output for such applications is defined to be correct if the fidelity metrics satisfy a certain threshold. However, such applications still contain instructions whose outputs are critical — i.e. their correctness decides if the overall quality of the program output is acceptable. In this paper, we present an analysis technique for identifying such critical program segments. More importantly, our technique is capable of guaranteeing application-level correctness through a combination of static analysis and runtime monitoring. Our static analysis consists of data flow analysis followed by control flow analysis to find static critical instructions which affect several instructions. Critical instructions are further refined into likely non-critical and likely critical sets in a profiling phase. At runtime, we use a monitoring scheme to monitor likely non-critical instructions and take remedial actions if some likely non-critical instructions become critical. Based on this analysis, we minimize the number of instructions that are duplicated and checked at runtime using a software-based fault detection and recovery technique [20]. Put together, our approach can lead to 22% average energy savings for multimedia applications while guaranteeing application-level correctness, when compared to a recent work [9], which cannot guarantee application-level correctness. Comparing to the approach proposed in [20] which guarantees both application-level and numerical correctness, our method achieves 79% energy reduction.
传统上,对容错的研究要求体系结构状态在数字上是完美的,以保证程序的正确执行。然而,在许多程序中,即使执行不是100%的数字正确,从用户的角度来看,程序仍然可以正确执行。为了量化用户满意度,可以使用应用级保真度度量(如PSNR)。如果保真度度量满足某个阈值,则定义此类应用程序的输出是正确的。然而,这样的应用程序仍然包含输出至关重要的指令——即它们的正确性决定了程序输出的整体质量是否可以接受。在本文中,我们提出了一种分析技术来识别这样的关键程序段。更重要的是,我们的技术能够通过静态分析和运行时监视的组合来保证应用程序级的正确性。我们的静态分析包括数据流分析,然后是控制流分析,以找到影响多个指令的静态关键指令。在分析阶段,关键指令进一步细化为可能的非关键集和可能的关键集。在运行时,我们使用监视方案来监视可能的非关键指令,并在一些可能的非关键指令变得关键时采取补救措施。基于这一分析,我们使用基于软件的故障检测和恢复技术,最大限度地减少了在运行时重复和检查的指令数量[20]。总的来说,我们的方法可以为多媒体应用程序平均节省22%的能源,同时保证应用程序级的正确性,而最近的一项工作[9]不能保证应用程序级的正确性。与[20]中提出的同时保证应用层和数值正确性的方法相比,我们的方法减少了79%的能量。
{"title":"Assuring application-level correctness against soft errors","authors":"J. Cong, Karthik Gururaj","doi":"10.1109/ICCAD.2011.6105319","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105319","url":null,"abstract":"Traditionally, research in fault tolerance has required architectural state to be numerically perfect for program execution to be correct. However, in many programs, even if execution is not 100% numerically correct, the program can still appear to execute correctly from the user's perspective. To quantify user satisfaction, application-level fidelity metrics (such as PSNR) can be used. The output for such applications is defined to be correct if the fidelity metrics satisfy a certain threshold. However, such applications still contain instructions whose outputs are critical — i.e. their correctness decides if the overall quality of the program output is acceptable. In this paper, we present an analysis technique for identifying such critical program segments. More importantly, our technique is capable of guaranteeing application-level correctness through a combination of static analysis and runtime monitoring. Our static analysis consists of data flow analysis followed by control flow analysis to find static critical instructions which affect several instructions. Critical instructions are further refined into likely non-critical and likely critical sets in a profiling phase. At runtime, we use a monitoring scheme to monitor likely non-critical instructions and take remedial actions if some likely non-critical instructions become critical. Based on this analysis, we minimize the number of instructions that are duplicated and checked at runtime using a software-based fault detection and recovery technique [20]. Put together, our approach can lead to 22% average energy savings for multimedia applications while guaranteeing application-level correctness, when compared to a recent work [9], which cannot guarantee application-level correctness. Comparing to the approach proposed in [20] which guarantees both application-level and numerical correctness, our method achieves 79% energy reduction.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78331227","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 47
Vectorless verification of RLC power grids with transient current constraints 具有暂态电流约束的RLC电网的无矢量验证
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105384
Xuanxing Xiong, Jia Wang
Vectorless power grid verification is a powerful method that evaluates worst-case voltage noises without detailed current waveforms using optimization techniques. It is extremely challenging when considering RLC power grids since inductors are difficult to tackle and multiple time steps should be evaluated after the discretization of the system equation. In this paper, we study integrated RLC power grids with both VDD and GND networks and rigorously prove that their vectorless verification can be decomposed into two sub-problems — the well-studied transient power grid analysis problem and an optimization problem that maximizes an affine function of currents under current constraints. We further introduce transient constraints to restrict the waveform of each current source for realistic scenarios and design the RLCVN algorithm to solve the vectorless verification problem of RLC power grids. Results confirm that our algorithm is an effective approach for practical RLC power grid verification, and the proposed transient constraints make the noise estimations more realistic.
无矢量电网验证是一种无需详细的电流波形,利用优化技术评估最坏情况下电压噪声的有效方法。当考虑RLC电网时,这是极具挑战性的,因为电感难以处理,并且在系统方程离散化后需要评估多个时间步长。本文研究了具有VDD和GND网络的集成RLC电网,并严格证明了它们的无矢量验证可以分解为两个子问题-研究得很好的暂态电网分析问题和在电流约束下最大仿射函数的优化问题。在此基础上,进一步引入暂态约束对各电流源的波形进行约束,并设计了RLCVN算法,解决了RLC电网的无矢量验证问题。结果表明,该算法是实际RLC电网验证的有效方法,所提出的暂态约束使噪声估计更加真实。
{"title":"Vectorless verification of RLC power grids with transient current constraints","authors":"Xuanxing Xiong, Jia Wang","doi":"10.1109/ICCAD.2011.6105384","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105384","url":null,"abstract":"Vectorless power grid verification is a powerful method that evaluates worst-case voltage noises without detailed current waveforms using optimization techniques. It is extremely challenging when considering RLC power grids since inductors are difficult to tackle and multiple time steps should be evaluated after the discretization of the system equation. In this paper, we study integrated RLC power grids with both VDD and GND networks and rigorously prove that their vectorless verification can be decomposed into two sub-problems — the well-studied transient power grid analysis problem and an optimization problem that maximizes an affine function of currents under current constraints. We further introduce transient constraints to restrict the waveform of each current source for realistic scenarios and design the RLCVN algorithm to solve the vectorless verification problem of RLC power grids. Results confirm that our algorithm is an effective approach for practical RLC power grid verification, and the proposed transient constraints make the noise estimations more realistic.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76785866","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
The role of EDA in digital print automation and infrastructure optimization EDA在数字印刷自动化和基础设施优化中的作用
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105320
K. Chakrabarty, G. Dispoto, Rick Bellamy, Jun Zeng
The use of digital print provides unique opportunities to automate the printing process, revamp production steps, better utilize resources, and enhance productivity. This paper highlights the key role that electronic design automation (EDA) can play in the maturation of the digital print automation field. It first describes basic concepts in digital printing and digital commercial print services. Next it describes the application of discrete-event simulation to policy management and performance evaluation, and dynamic resource management using EDA flows based on scheduling and resource binding.
数字印刷的使用为自动化印刷过程、改进生产步骤、更好地利用资源和提高生产力提供了独特的机会。本文强调了电子设计自动化(EDA)在数字印刷自动化领域的成熟中所起的关键作用。它首先描述了数字印刷和数字商业印刷服务的基本概念。接下来描述了离散事件模拟在策略管理和性能评估中的应用,以及使用基于调度和资源绑定的EDA流进行动态资源管理。
{"title":"The role of EDA in digital print automation and infrastructure optimization","authors":"K. Chakrabarty, G. Dispoto, Rick Bellamy, Jun Zeng","doi":"10.1109/ICCAD.2011.6105320","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105320","url":null,"abstract":"The use of digital print provides unique opportunities to automate the printing process, revamp production steps, better utilize resources, and enhance productivity. This paper highlights the key role that electronic design automation (EDA) can play in the maturation of the digital print automation field. It first describes basic concepts in digital printing and digital commercial print services. Next it describes the application of discrete-event simulation to policy management and performance evaluation, and dynamic resource management using EDA flows based on scheduling and resource binding.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86046628","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Gate sizing and device technology selection algorithms for high-performance industrial designs 高性能工业设计的栅极尺寸和器件技术选择算法
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105409
Muhammet Mustafa Ozdal, S. Burns, Jiang Hu
It is becoming more and more important to design high performance designs with as low power as possible. In this paper, we study the gate sizing and device technology selection problem for today's industrial designs. We first outline the typical practical problems that make it difficult to use the traditional algorithms on high-performance industrial designs. Then, we propose a Lagrangian Relaxation (LR) based formulation that decouples timing analysis from optimization without resulting in loss of accuracy. We also propose a graph model that accurately captures discrete cell type characteristics based on library data. We model the relaxed Lagrangian subproblem as a discrete graph problem, and propose algorithms to solve it. In our experiments, we demonstrate the importance of using the signoff timing engine to guide the optimization. Compared to a state-of-the art industrial optimization flow, we show that our algorithms can obtain up to 38% leakage power reductions and better overall timing for real high-performance microprocessor blocks.
以尽可能低的功耗设计高性能的设计变得越来越重要。本文研究了当今工业设计中闸门尺寸和器件技术选择问题。我们首先概述了在高性能工业设计中难以使用传统算法的典型实际问题。然后,我们提出了一个基于拉格朗日松弛(LR)的公式,该公式将时间分析与优化解耦,而不会导致精度损失。我们还提出了一个基于库数据准确捕获离散单元格类型特征的图模型。我们将松弛拉格朗日子问题建模为离散图问题,并提出了求解该问题的算法。在我们的实验中,我们证明了使用签名定时引擎来指导优化的重要性。与最先进的工业优化流程相比,我们的算法可以为真正的高性能微处理器块获得高达38%的泄漏功耗降低和更好的整体时序。
{"title":"Gate sizing and device technology selection algorithms for high-performance industrial designs","authors":"Muhammet Mustafa Ozdal, S. Burns, Jiang Hu","doi":"10.1109/ICCAD.2011.6105409","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105409","url":null,"abstract":"It is becoming more and more important to design high performance designs with as low power as possible. In this paper, we study the gate sizing and device technology selection problem for today's industrial designs. We first outline the typical practical problems that make it difficult to use the traditional algorithms on high-performance industrial designs. Then, we propose a Lagrangian Relaxation (LR) based formulation that decouples timing analysis from optimization without resulting in loss of accuracy. We also propose a graph model that accurately captures discrete cell type characteristics based on library data. We model the relaxed Lagrangian subproblem as a discrete graph problem, and propose algorithms to solve it. In our experiments, we demonstrate the importance of using the signoff timing engine to guide the optimization. Compared to a state-of-the art industrial optimization flow, we show that our algorithms can obtain up to 38% leakage power reductions and better overall timing for real high-performance microprocessor blocks.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86227801","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 60
Delay optimization using SOP balancing 使用SOP平衡进行延迟优化
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105357
A. Mishchenko, R. Brayton, Stephen Jang, Victor N. Kravets
Reducing delay of a digital circuit is an important topic in logic synthesis for standard cells and LUT-based FPGAs. This paper presents a simple, fast, and very efficient synthesis algorithm to improve the delay after technology mapping. The algorithm scales to large designs and is implemented in a publicly-available technology mapper. The code is available online. Experimental results on industrial designs show that the method can improve delay after standard cell mapping by 30% with the increase in area 2.4%, or by 41% with the increase in area by 3.9%, on top of a high-effort synthesis and mapping flow. In a separate experiment, the algorithm was used as part of a complete industrial standard cell design flow, leading to improvements in area and delay after place-and-route. In yet another experiment, the algorithm was applied before FPGA mapping into 4-LUTs, resulting in 16% logic level reduction at the cost of 9% area increase on top of a high-effort mapping.
降低数字电路的延迟是标准单元和基于lut的fpga逻辑合成中的一个重要课题。本文提出了一种简单、快速、高效的综合算法,以改善技术映射后的时延。该算法适用于大型设计,并在公开可用的技术映射器中实现。该代码可在网上获得。工业设计的实验结果表明,该方法可以提高标准细胞绘图后的延迟,当面积增加2.4%时,延迟提高30%;当面积增加3.9%时,延迟提高41%。在单独的实验中,该算法被用作完整的工业标准单元设计流程的一部分,从而改善了放置和路由后的面积和延迟。在另一个实验中,将该算法应用于FPGA映射到4- lut之前,结果在高工作量映射的基础上,以增加9%的面积为代价降低了16%的逻辑电平。
{"title":"Delay optimization using SOP balancing","authors":"A. Mishchenko, R. Brayton, Stephen Jang, Victor N. Kravets","doi":"10.1109/ICCAD.2011.6105357","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105357","url":null,"abstract":"Reducing delay of a digital circuit is an important topic in logic synthesis for standard cells and LUT-based FPGAs. This paper presents a simple, fast, and very efficient synthesis algorithm to improve the delay after technology mapping. The algorithm scales to large designs and is implemented in a publicly-available technology mapper. The code is available online. Experimental results on industrial designs show that the method can improve delay after standard cell mapping by 30% with the increase in area 2.4%, or by 41% with the increase in area by 3.9%, on top of a high-effort synthesis and mapping flow. In a separate experiment, the algorithm was used as part of a complete industrial standard cell design flow, leading to improvements in area and delay after place-and-route. In yet another experiment, the algorithm was applied before FPGA mapping into 4-LUTs, resulting in 16% logic level reduction at the cost of 9% area increase on top of a high-effort mapping.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86474071","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
The STeTSiMS STT-RAM simulation and modeling system STeTSiMS STT-RAM仿真和建模系统
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105348
IV ClintonWillsSmullen, Anurag Nigam, S. Gurumurthi, M. Stan
There is growing interest in emerging non-volatile memory technologies such as Phase-Change Memory, Memristors, and Spin-Transfer Torque RAM (STT-RAM). STT-RAM, in particular, is experiencing rapid development that can be difficult for memory systems researchers to take advantage of. What is needed are techniques that enable designers to explore the potential of recent STT-RAM designs and adjust the performance without needing a detailed understanding of the physics. In this paper, we present the STeTSiMS STT-RAM Simulation and Modeling System to assist memory systems researchers. After providing background on the operation of STT-RAM magnetic tunnel junctions (MTJs), we demonstrate how to fit three different published MTJ models to our model and normalize their characteristics with respect to common metrics. The high-speed switching behavior of the designs is evaluated using macromagnetic simulations. We have also added a first-order model for STT-RAM memory arrays to the CACTI memory modeling tool, which we then use to evaluate the performance, energy consumption, and area for: (i) a high-performance cache, (ii) a high-capacity cache, and (iii) a high-density memory.
人们对新兴的非易失性存储技术越来越感兴趣,如相变存储器、忆阻器和自旋传递扭矩RAM (STT-RAM)。特别是STT-RAM,正在经历快速发展,这对于存储系统研究人员来说很难利用。我们需要的技术是使设计人员能够探索最新STT-RAM设计的潜力,并在不需要详细了解物理的情况下调整性能。在本文中,我们提出STeTSiMS STT-RAM仿真与建模系统,以协助记忆系统研究者。在提供了STT-RAM磁隧道结(MTJ)的操作背景之后,我们演示了如何将三种不同的已发表的MTJ模型拟合到我们的模型中,并根据常见指标对其特征进行归一化。利用宏磁仿真对设计的高速开关性能进行了评价。我们还在CACTI内存建模工具中添加了STT-RAM内存阵列的一阶模型,然后我们使用该模型来评估:(i)高性能缓存、(ii)高容量缓存和(iii)高密度内存的性能、能耗和面积。
{"title":"The STeTSiMS STT-RAM simulation and modeling system","authors":"IV ClintonWillsSmullen, Anurag Nigam, S. Gurumurthi, M. Stan","doi":"10.1109/ICCAD.2011.6105348","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105348","url":null,"abstract":"There is growing interest in emerging non-volatile memory technologies such as Phase-Change Memory, Memristors, and Spin-Transfer Torque RAM (STT-RAM). STT-RAM, in particular, is experiencing rapid development that can be difficult for memory systems researchers to take advantage of. What is needed are techniques that enable designers to explore the potential of recent STT-RAM designs and adjust the performance without needing a detailed understanding of the physics. In this paper, we present the STeTSiMS STT-RAM Simulation and Modeling System to assist memory systems researchers. After providing background on the operation of STT-RAM magnetic tunnel junctions (MTJs), we demonstrate how to fit three different published MTJ models to our model and normalize their characteristics with respect to common metrics. The high-speed switching behavior of the designs is evaluated using macromagnetic simulations. We have also added a first-order model for STT-RAM memory arrays to the CACTI memory modeling tool, which we then use to evaluate the performance, energy consumption, and area for: (i) a high-performance cache, (ii) a high-capacity cache, and (iii) a high-density memory.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79088538","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
A SimPLR method for routability-driven placement 可达性驱动放置的SimPLR方法
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105307
Myung-Chul Kim, Jin Hu, Dongjin Lee, I. Markov
Highly-optimized placements may lead to irreparable routing congestion due to inadequate models of modern interconnect stacks and the impact of partial routing obstacles. Additional challenges in routability-driven placement include scalability to large netlists and limiting the complexity of software integration. Addressing these challenges, we develop lookahead routing to give the placer advance, firsthand knowledge of trouble spots, not distorted by crude congestion models. We also extend global placement to (i) spread cells apart in congested areas, and (ii) move cells together in less-congested areas to ensure short, routable interconnects and moderate runtime. While previous work adds isolated steps to global placement, our SIMultaneous PLace-and-Route tool SimPLR integrates a layer- and via-aware global router into a leading-edge, force-directed placer. The complexity of integration is mitigated by careful design of simple yet effective optimizations. On the ISPD 2011 Contest Benchmark Suite, with the official evaluation protocol, SimPLR outperforms every contestant on every benchmark.
由于现代互连栈模型的不足和部分路由障碍的影响,高度优化的布局可能导致不可修复的路由拥塞。可达性驱动布局的其他挑战包括对大型网络列表的可伸缩性和限制软件集成的复杂性。为了应对这些挑战,我们开发了前瞻性路由,为砂矿提供了先期、麻烦点的第一手知识,而不会被粗糙的拥堵模型所扭曲。我们还扩展了全局布局,以(i)在拥塞区域将蜂窝分散开来,(ii)在拥塞较少的区域将蜂窝移动在一起,以确保短的、可路由的互连和适度的运行时间。虽然以前的工作增加了全局放置的孤立步骤,但我们的同步放置和路由工具SimPLR将层和通道感知的全局路由器集成到领先的力定向放置器中。通过精心设计简单而有效的优化,可以降低集成的复杂性。在ISPD 2011竞赛基准套件中,使用官方评估协议,SimPLR在每个基准上都优于所有参赛者。
{"title":"A SimPLR method for routability-driven placement","authors":"Myung-Chul Kim, Jin Hu, Dongjin Lee, I. Markov","doi":"10.1109/ICCAD.2011.6105307","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105307","url":null,"abstract":"Highly-optimized placements may lead to irreparable routing congestion due to inadequate models of modern interconnect stacks and the impact of partial routing obstacles. Additional challenges in routability-driven placement include scalability to large netlists and limiting the complexity of software integration. Addressing these challenges, we develop lookahead routing to give the placer advance, firsthand knowledge of trouble spots, not distorted by crude congestion models. We also extend global placement to (i) spread cells apart in congested areas, and (ii) move cells together in less-congested areas to ensure short, routable interconnects and moderate runtime. While previous work adds isolated steps to global placement, our SIMultaneous PLace-and-Route tool SimPLR integrates a layer- and via-aware global router into a leading-edge, force-directed placer. The complexity of integration is mitigated by careful design of simple yet effective optimizations. On the ISPD 2011 Contest Benchmark Suite, with the official evaluation protocol, SimPLR outperforms every contestant on every benchmark.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81823062","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 95
Congestion analysis for global routing via integer programming 基于整数规划的全局路由拥塞分析
Pub Date : 2011-11-07 DOI: 10.5555/2132325.2132386
H. Shojaei, A. Davoodi, Jeff T. Linderoth
This work presents a fast and flexible framework for congestion analysis at the global routing stage. It captures various factors that contribute to congestion in modern designs. The framework is a practical realization of a proposed parameterized integer programming formulation. The formulation minimizes overflow inside a set of regions covering the layout which is defined by an input resolution parameter. A resolution lower than the global routing grid-graph creates regions that are larger in size than the global-cells. The maximum resolution case simplifies the formulation to minimizing the total overflow which has been traditionally used as a metric to evaluate routability. A novel contribution of this work is to demonstrate that for a small analysis time budget, regional minimization of overflow with a lower resolution allows a more accurate identification of the routing congestion hotspot locations, compared to minimizing the total overflow. It allows generating a more accurate congestion heatmap. The other contributions include several new ideas for a practical realization of the formulation for industry-sized benchmark instances some of which are also improvements to existing global routing procedures. This work also describes coalesCgrip, a simpler variation of our framework which was used to evaluate the ISPD 2011 contest.
这项工作为全局路由阶段的拥塞分析提供了一个快速灵活的框架。它抓住了导致现代设计中拥堵的各种因素。该框架是提出的参数化整数规划公式的实际实现。该公式将覆盖由输入分辨率参数定义的布局的一组区域内的溢出最小化。低于全局路由网格图的分辨率创建的区域的大小大于全局单元格。最大分辨率情况简化了公式,使总溢出最小化,而总溢出传统上被用作评估可达性的度量。这项工作的一个新颖贡献是证明了在较小的分析时间预算下,与最小化总溢出相比,具有较低分辨率的溢出区域最小化可以更准确地识别路由拥塞热点位置。它允许生成更准确的拥塞热图。其他贡献包括一些新的想法,用于实际实现行业规模的基准实例的公式,其中一些也是对现有全局路由过程的改进。这项工作还描述了coalesCgrip,这是我们框架的一个更简单的变体,用于评估ISPD 2011竞赛。
{"title":"Congestion analysis for global routing via integer programming","authors":"H. Shojaei, A. Davoodi, Jeff T. Linderoth","doi":"10.5555/2132325.2132386","DOIUrl":"https://doi.org/10.5555/2132325.2132386","url":null,"abstract":"This work presents a fast and flexible framework for congestion analysis at the global routing stage. It captures various factors that contribute to congestion in modern designs. The framework is a practical realization of a proposed parameterized integer programming formulation. The formulation minimizes overflow inside a set of regions covering the layout which is defined by an input resolution parameter. A resolution lower than the global routing grid-graph creates regions that are larger in size than the global-cells. The maximum resolution case simplifies the formulation to minimizing the total overflow which has been traditionally used as a metric to evaluate routability. A novel contribution of this work is to demonstrate that for a small analysis time budget, regional minimization of overflow with a lower resolution allows a more accurate identification of the routing congestion hotspot locations, compared to minimizing the total overflow. It allows generating a more accurate congestion heatmap. The other contributions include several new ideas for a practical realization of the formulation for industry-sized benchmark instances some of which are also improvements to existing global routing procedures. This work also describes coalesCgrip, a simpler variation of our framework which was used to evaluate the ISPD 2011 contest.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86531622","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 41
On proving the efficiency of alternative RF tests 关于证明替代射频测试的效率
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105415
Nathan Kupp, H. Stratigopoulos, P. Drineas, Y. Makris
The deployment of alternative, low-cost RF test methods in industry has been, to date, rather limited. This is due to the potentially impaired ability to identify device pass/fail labels when departing from traditional specification test. By relying on alternative tests, pass/fail labels must be derived indirectly through new test limits defined for the alternative tests, which may incur error in the form of test escapes or yield loss. Clearly, estimating these test metrics as early as possible in the test development process is key to the success of an alternative test approach. In this work, we employ a test metrics estimation technique based on non-parametric kernel density estimation to obtain such early estimates, and, for the first time, demonstrate a real-world case study of test metric estimation efficiency at parts-per-million levels. To achieve this, we employ a set of more than 1 million RF devices fabricated by Texas Instruments, which have been tested with both traditional specification tests as well as alternative, low-cost On-chip RF Built-in Tests, or “ORBiTs”.
迄今为止,在工业中部署替代的低成本射频测试方法相当有限。这是由于当偏离传统的规格测试时,潜在地削弱了识别设备合格/不合格标签的能力。通过依赖替代测试,必须通过为替代测试定义的新测试限制间接获得合格/不合格标签,这可能导致以测试逃逸或产量损失的形式出现错误。显然,在测试开发过程中尽可能早地评估这些测试度量是替代测试方法成功的关键。在这项工作中,我们采用了一种基于非参数核密度估计的测试度量估计技术来获得这样的早期估计,并且,第一次,在百万分之一的水平上演示了测试度量估计效率的真实案例研究。为了实现这一目标,我们采用了一套由德州仪器制造的100多万个射频器件,这些器件已通过传统规格测试以及替代的低成本片上射频内置测试或“轨道”进行了测试。
{"title":"On proving the efficiency of alternative RF tests","authors":"Nathan Kupp, H. Stratigopoulos, P. Drineas, Y. Makris","doi":"10.1109/ICCAD.2011.6105415","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105415","url":null,"abstract":"The deployment of alternative, low-cost RF test methods in industry has been, to date, rather limited. This is due to the potentially impaired ability to identify device pass/fail labels when departing from traditional specification test. By relying on alternative tests, pass/fail labels must be derived indirectly through new test limits defined for the alternative tests, which may incur error in the form of test escapes or yield loss. Clearly, estimating these test metrics as early as possible in the test development process is key to the success of an alternative test approach. In this work, we employ a test metrics estimation technique based on non-parametric kernel density estimation to obtain such early estimates, and, for the first time, demonstrate a real-world case study of test metric estimation efficiency at parts-per-million levels. To achieve this, we employ a set of more than 1 million RF devices fabricated by Texas Instruments, which have been tested with both traditional specification tests as well as alternative, low-cost On-chip RF Built-in Tests, or “ORBiTs”.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86908684","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
期刊
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1