首页 > 最新文献

2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)最新文献

英文 中文
Robust passive hardware metering 稳健的无源硬件计量
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105421
Sheng Wei, A. Nahapetian, M. Potkonjak
Current hardware metering techniques, which use manifestational properties of gates for ID extraction, are weakened by the non-uniform effects of aging in conjunction with variations in temperature and supply voltage. As an integrated circuit (IC) ages, the manifestational properties of the gates change, and thus the ID used for hardware metering can not be valid over time. Additionally, the previous approaches require large amounts of costly measurements and often are difficult to scale to large designs. We resolve the deleterious effects of aging by going to the physical level and primarily targeting the characterization of threshold voltage. Although threshold voltage is modified with aging, we can recover its original value for use as the IC identifier. Another key aspect of our approach involves using IC segmentation for gate-level characterization. This results in a cost effective approach by limiting measurements, and has a significant effect on the approach scalability. Finally, by using threshold voltage for ID creation, we are able to quantify the probability of coincidence between legitimate and pirated ICs, thus for the first time quantitatively and accurately demonstrating the effectiveness of a hardware metering approach.
当前的硬件计量技术使用栅极的显式特性进行ID提取,由于老化的不均匀影响以及温度和电源电压的变化而被削弱。随着集成电路(IC)的老化,门的表现特性会发生变化,因此用于硬件计量的ID不能随着时间的推移而有效。此外,以前的方法需要大量昂贵的测量,并且通常难以扩展到大型设计。我们从物理层面解决老化的有害影响,主要针对阈值电压的表征。虽然阈值电压会随着老化而改变,但我们可以恢复其原始值作为IC标识。我们方法的另一个关键方面涉及使用IC分段进行门级表征。这通过限制测量产生了一种具有成本效益的方法,并对方法的可伸缩性产生了重大影响。最后,通过使用阈值电压创建ID,我们能够量化合法和盗版ic之间的巧合概率,从而首次定量和准确地展示硬件计量方法的有效性。
{"title":"Robust passive hardware metering","authors":"Sheng Wei, A. Nahapetian, M. Potkonjak","doi":"10.1109/ICCAD.2011.6105421","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105421","url":null,"abstract":"Current hardware metering techniques, which use manifestational properties of gates for ID extraction, are weakened by the non-uniform effects of aging in conjunction with variations in temperature and supply voltage. As an integrated circuit (IC) ages, the manifestational properties of the gates change, and thus the ID used for hardware metering can not be valid over time. Additionally, the previous approaches require large amounts of costly measurements and often are difficult to scale to large designs. We resolve the deleterious effects of aging by going to the physical level and primarily targeting the characterization of threshold voltage. Although threshold voltage is modified with aging, we can recover its original value for use as the IC identifier. Another key aspect of our approach involves using IC segmentation for gate-level characterization. This results in a cost effective approach by limiting measurements, and has a significant effect on the approach scalability. Finally, by using threshold voltage for ID creation, we are able to quantify the probability of coincidence between legitimate and pirated ICs, thus for the first time quantitatively and accurately demonstrating the effectiveness of a hardware metering approach.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88476322","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 31
Simulation-based signal selection for state restoration in silicon debug 基于仿真的硅调试状态恢复信号选择
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105391
Debapriya Chatterjee, Calvin McCarter, V. Bertacco
Post-silicon validation has become a crucial part of modern integrated circuit design to capture and eliminate functional bugs that escape pre-silicon verification. The most critical roadblock in post-silicon validation is the limited observability of internal signals of a design, since this aspect hinders the ability to diagnose detected bugs. A solution to address this issue leverage trace buffers: these are register buffers embedded into the design with the goal of recording the value of a small number of state elements, over a time interval, triggered by a user-specified event. Due to the trace buffer's area overhead, only a very small fraction of signals can be traced. Thus, the selection of which signals to trace is of paramount importance in post-silicon debugging and diagnosis. Ideally, we would like to select signals enabling the maximum amount of reconstruction of internal signal values. Several signal selection algorithms for post-silicon debug have been proposed in the literature: they rely on a probability-based state-restoration capacity metric coupled with a greedy algorithm. In this work we propose a more accurate restoration capacity metric, based on simulation information, and present a novel algorithm that overcomes some key shortcomings of previous solutions. We show that our technique provides up to 34% better state restoration compared to all previous techniques while showing a much better trend with increasing trace buffer size.
后硅验证已成为现代集成电路设计的重要组成部分,以捕获和消除逃避前硅验证的功能缺陷。后硅验证中最关键的障碍是设计内部信号的有限可观察性,因为这方面阻碍了诊断检测到的错误的能力。解决这个问题的一个解决方案是利用跟踪缓冲区:这些是嵌入到设计中的寄存器缓冲区,目的是在一段时间间隔内记录由用户指定的事件触发的少量状态元素的值。由于跟踪缓冲区的面积开销,只能跟踪很小一部分信号。因此,选择哪些信号跟踪是至关重要的矽后调试和诊断。理想情况下,我们希望选择能够最大限度地重建内部信号值的信号。文献中已经提出了几种用于硅后调试的信号选择算法:它们依赖于基于概率的状态恢复容量度量和贪婪算法。在这项工作中,我们提出了一个更准确的恢复能力指标,基于仿真信息,并提出了一个新的算法,克服了一些关键的缺点,以前的解决方案。我们表明,与所有以前的技术相比,我们的技术提供了高达34%的状态恢复,同时随着跟踪缓冲区大小的增加显示出更好的趋势。
{"title":"Simulation-based signal selection for state restoration in silicon debug","authors":"Debapriya Chatterjee, Calvin McCarter, V. Bertacco","doi":"10.1109/ICCAD.2011.6105391","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105391","url":null,"abstract":"Post-silicon validation has become a crucial part of modern integrated circuit design to capture and eliminate functional bugs that escape pre-silicon verification. The most critical roadblock in post-silicon validation is the limited observability of internal signals of a design, since this aspect hinders the ability to diagnose detected bugs. A solution to address this issue leverage trace buffers: these are register buffers embedded into the design with the goal of recording the value of a small number of state elements, over a time interval, triggered by a user-specified event. Due to the trace buffer's area overhead, only a very small fraction of signals can be traced. Thus, the selection of which signals to trace is of paramount importance in post-silicon debugging and diagnosis. Ideally, we would like to select signals enabling the maximum amount of reconstruction of internal signal values. Several signal selection algorithms for post-silicon debug have been proposed in the literature: they rely on a probability-based state-restoration capacity metric coupled with a greedy algorithm. In this work we propose a more accurate restoration capacity metric, based on simulation information, and present a novel algorithm that overcomes some key shortcomings of previous solutions. We show that our technique provides up to 34% better state restoration compared to all previous techniques while showing a much better trend with increasing trace buffer size.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86973899","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 71
Massively parallel programming models used as hardware description languages: The OpenCL case 作为硬件描述语言的大规模并行编程模型:OpenCL案例
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105349
Muhsen Owaida, Nikolaos Bellas, C. Antonopoulos, Konstantis Daloukas, C. Antoniadis
The problem of automatically generating hardware modules from high level application representations has been at the forefront of EDA research during the last few years. In this paper, we introduce a methodology to automatically synthesize hardware accelerators from OpenCL applications. OpenCL is a recent industry supported standard for writing programs that execute on multicore platforms and accelerators such as GPUs. Our methodology maps OpenCL kernels into hardware accelerators, based on architectural templates that explicitly decouple computation from memory communication whenever this is possible. The templates can be tuned to provide a wide repertoire of accelerators that meet user performance requirements and FPGA device characteristics. Furthermore, a set of high- and low-level compiler optimizations is applied to generate optimized accelerators. Our experimental evaluation shows that the generated accelerators are tuned efficiently to match the applications memory access pattern and computational complexity, and to achieve user performance requirements. An important objective of our tool is to expand the FPGA development user base to software engineers, thereby expanding the scope of FPGAs beyond the realm of hardware design.
从高级应用程序表示中自动生成硬件模块的问题在过去几年中一直是EDA研究的前沿。本文介绍了一种从OpenCL应用程序中自动合成硬件加速器的方法。OpenCL是最近业界支持的一种标准,用于编写在多核平台和gpu等加速器上执行的程序。我们的方法将OpenCL内核映射到硬件加速器中,基于架构模板,在可能的情况下显式地将计算与内存通信解耦。可以对模板进行调优,以提供满足用户性能要求和FPGA器件特性的各种加速器。此外,还应用了一组高级和低级编译器优化来生成优化的加速器。我们的实验评估表明,所生成的加速器可以有效地调整以匹配应用程序的内存访问模式和计算复杂度,并达到用户的性能要求。我们的工具的一个重要目标是将FPGA开发用户群扩展到软件工程师,从而将FPGA的范围扩展到硬件设计领域之外。
{"title":"Massively parallel programming models used as hardware description languages: The OpenCL case","authors":"Muhsen Owaida, Nikolaos Bellas, C. Antonopoulos, Konstantis Daloukas, C. Antoniadis","doi":"10.1109/ICCAD.2011.6105349","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105349","url":null,"abstract":"The problem of automatically generating hardware modules from high level application representations has been at the forefront of EDA research during the last few years. In this paper, we introduce a methodology to automatically synthesize hardware accelerators from OpenCL applications. OpenCL is a recent industry supported standard for writing programs that execute on multicore platforms and accelerators such as GPUs. Our methodology maps OpenCL kernels into hardware accelerators, based on architectural templates that explicitly decouple computation from memory communication whenever this is possible. The templates can be tuned to provide a wide repertoire of accelerators that meet user performance requirements and FPGA device characteristics. Furthermore, a set of high- and low-level compiler optimizations is applied to generate optimized accelerators. Our experimental evaluation shows that the generated accelerators are tuned efficiently to match the applications memory access pattern and computational complexity, and to achieve user performance requirements. An important objective of our tool is to expand the FPGA development user base to software engineers, thereby expanding the scope of FPGAs beyond the realm of hardware design.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86471421","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Progress in CMOS-memristor integration cmos -忆阻器集成研究进展
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105335
G. Medeiros-Ribeiro, J. Nickel, J. Yang
The fast improvements that have been realized over the past 3 years in the understanding of the materials science, physics and engineering of memristors are briefly reviewed. The electroforming phenomena and the associated importance for the understanding of novel device structures has been revealed from a materials science standpoint, complemented with a spectromicroscopy study and electronic microscopy. These studies were utilized to substantiate a realistic physical model that permitted the development of differential equations governing device behavior, as well as SPICE models and stochastic analysis. Finally, we briefly highlight recent progress in device endurance, which surpasses that of FLASH by several orders of magnitude.
简要回顾了过去三年来在记忆电阻器的材料科学、物理和工程方面所取得的快速进展。从材料科学的角度揭示了电铸现象及其对理解新型器件结构的相关重要性,并辅以光谱显微镜和电子显微镜的研究。这些研究被用来证实一个现实的物理模型,该模型允许开发控制器件行为的微分方程,以及SPICE模型和随机分析。最后,我们简要地强调了最近在设备续航能力方面的进展,这超过了FLASH的几个数量级。
{"title":"Progress in CMOS-memristor integration","authors":"G. Medeiros-Ribeiro, J. Nickel, J. Yang","doi":"10.1109/ICCAD.2011.6105335","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105335","url":null,"abstract":"The fast improvements that have been realized over the past 3 years in the understanding of the materials science, physics and engineering of memristors are briefly reviewed. The electroforming phenomena and the associated importance for the understanding of novel device structures has been revealed from a materials science standpoint, complemented with a spectromicroscopy study and electronic microscopy. These studies were utilized to substantiate a realistic physical model that permitted the development of differential equations governing device behavior, as well as SPICE models and stochastic analysis. Finally, we briefly highlight recent progress in device endurance, which surpasses that of FLASH by several orders of magnitude.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84890946","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Exploring heterogeneous NoC design space 探索异构NoC设计空间
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105419
Hui Zhao, M. Kandemir, W. Ding, M. J. Irwin
The Network-on-Chip (NoC) plays a crucial role in designing low cost chip multiprocessors (CMPs) as the number of cores on a chip keeps increasing. However, buffers in NoC routers increase the cost of CMPs in terms of both area and power. Recently, bufferless routers have been proposed to reduce such costs by removing buffers from the routers. However, bufferless routers can provide competitive performance only when network utilization is moderate. In this paper, we propose a novel heterogeneous design that employs both buffered and bufferless routers in the same NoC to achieve high performance at low cost. We evaluate a variety of plans to place buffered and bufferless routers in an NoC based CMP according to performance requirements and power allowances. In order to take full advantage of these heterogeneous NoCs, we also propose novel strategies for buffered-router-aware application thread mapping and a routing algorithm (once the router placement is fixed). Our evaluations show that, by utilizing the techniques we proposed, a heterogeneous NoC does not only achieve performance comparable to that of the NoCs with buffered routers but also reduces buffer costs and energy consumption.
随着芯片核数的不断增加,片上网络(NoC)在设计低成本芯片多处理器(cmp)中起着至关重要的作用。然而,NoC路由器中的缓冲区在面积和功率方面都增加了cmp的成本。最近,无缓冲路由器被提出通过从路由器中移除缓冲区来降低这种成本。然而,只有当网络利用率适中时,无缓冲路由器才能提供有竞争力的性能。在本文中,我们提出了一种新的异构设计,在同一NoC中使用缓冲和无缓冲路由器,以低成本实现高性能。根据性能要求和功率允许,我们评估了在基于NoC的CMP中放置缓冲和无缓冲路由器的各种计划。为了充分利用这些异构noc,我们还提出了缓冲路由器感知应用程序线程映射的新策略和路由算法(一旦路由器位置固定)。我们的评估表明,通过利用我们提出的技术,异构NoC不仅实现了与带缓冲路由器的NoC相当的性能,而且还降低了缓冲成本和能耗。
{"title":"Exploring heterogeneous NoC design space","authors":"Hui Zhao, M. Kandemir, W. Ding, M. J. Irwin","doi":"10.1109/ICCAD.2011.6105419","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105419","url":null,"abstract":"The Network-on-Chip (NoC) plays a crucial role in designing low cost chip multiprocessors (CMPs) as the number of cores on a chip keeps increasing. However, buffers in NoC routers increase the cost of CMPs in terms of both area and power. Recently, bufferless routers have been proposed to reduce such costs by removing buffers from the routers. However, bufferless routers can provide competitive performance only when network utilization is moderate. In this paper, we propose a novel heterogeneous design that employs both buffered and bufferless routers in the same NoC to achieve high performance at low cost. We evaluate a variety of plans to place buffered and bufferless routers in an NoC based CMP according to performance requirements and power allowances. In order to take full advantage of these heterogeneous NoCs, we also propose novel strategies for buffered-router-aware application thread mapping and a routing algorithm (once the router placement is fixed). Our evaluations show that, by utilizing the techniques we proposed, a heterogeneous NoC does not only achieve performance comparable to that of the NoCs with buffered routers but also reduces buffer costs and energy consumption.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78463282","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
Towards completely automatic decoder synthesis 走向全自动解码器合成
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105359
Hsiou-Yuan Liu, Yen-Cheng Chou, Chen-Hsuan Lin, J. H. Jiang
Upon receiving the output sequence streaming from a sequential encoder, a decoder reconstructs the corresponding input sequence that streamed to the encoder. Such an encoding and decoding scheme is commonly encountered in communication, cryptography, signal processing, and other applications. Given an encoder specification, decoder design can be error-prone and time consuming. Its automation may help designers improve productivity and justify encoder correctness. Though recent advances showed promising progress, there is still no complete method that decides whether a decoder exists for a finite state transition system. The quest for completely automatic decoder synthesis remains. This paper presents a complete and practical approach to automating decoder synthesis via incremental SAT solving and Craig interpolation. Experiments show that, for decoder-existent cases, our method synthesizes decoders effectively; for decoder-nonexistent cases, our method concludes the non-existence instantly while prior methods may fail.
当从顺序编码器接收输出序列流时,解码器重建流到编码器的相应输入序列。这种编码和解码方案通常在通信、密码学、信号处理和其他应用中遇到。给定编码器规范,解码器设计可能容易出错且耗时。它的自动化可以帮助设计人员提高生产力并证明编码器的正确性。尽管最近的进展显示出有希望的进展,但仍然没有完整的方法来确定有限状态转换系统是否存在解码器。对完全自动解码器合成的追求仍然存在。本文提出了一种完整而实用的方法,通过增量SAT求解和克雷格插值来自动合成解码器。实验表明,对于存在解码器的情况,我们的方法可以有效地综合解码器;对于解码器不存在的情况,我们的方法立即得出不存在的结论,而先前的方法可能会失败。
{"title":"Towards completely automatic decoder synthesis","authors":"Hsiou-Yuan Liu, Yen-Cheng Chou, Chen-Hsuan Lin, J. H. Jiang","doi":"10.1109/ICCAD.2011.6105359","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105359","url":null,"abstract":"Upon receiving the output sequence streaming from a sequential encoder, a decoder reconstructs the corresponding input sequence that streamed to the encoder. Such an encoding and decoding scheme is commonly encountered in communication, cryptography, signal processing, and other applications. Given an encoder specification, decoder design can be error-prone and time consuming. Its automation may help designers improve productivity and justify encoder correctness. Though recent advances showed promising progress, there is still no complete method that decides whether a decoder exists for a finite state transition system. The quest for completely automatic decoder synthesis remains. This paper presents a complete and practical approach to automating decoder synthesis via incremental SAT solving and Craig interpolation. Experiments show that, for decoder-existent cases, our method synthesizes decoders effectively; for decoder-nonexistent cases, our method concludes the non-existence instantly while prior methods may fail.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76885641","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Doppler: DPL-aware and OPC-friendly gridless detailed routing with mask density balancing 多普勒:dpl感知和opc友好的无网格详细路由与掩膜密度平衡
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105343
Yen-Hung Lin, Y. Ban, D. Pan, Yih-Lang Li
The printed image of a layout that satisfies the double patterning lithograph (DPL) constraints may not have good fidelity if the layout neglects optical proximity correction (OPC). Simultaneously considering DPL and OPC becomes necessary when gene rating layouts, especially in routing stage. Moreover, one decomposed design with balanced mask density has a lower edge placement error (EPE)than an unbalance done[6]. This work proposes a compre-hensive conflict graph (CCG)to enable detailed routers to simultaneously consider DPL, OPC, and mask density to gene rate litho-friendly layouts. This work then develops an DPL-aware and OPC-friendly gridless detailed routing (DOPPLER) by applying CCG in a gridless routing model. A density variation threshold annealing-based routing flow is also proposed to prevent DOPPLER from falling into a sub-optimal mask density balance. Compared with existing DPL-aware detailed routing works, DOPPLER demon-stratesanaverage 73.84% of EPE hotspot reduction with a satisfactory mask density at the cost of an average increase of 0.08% wire-length, 15.14% number of stitches, and 77.28% runtime.
如果忽略光学接近校正(OPC),则满足双模印刷(DPL)约束的印刷图像可能具有较好的保真度。在基因排序布局中,特别是在布线阶段,必须同时考虑DPL和OPC。此外,具有平衡掩模密度的分解设计比不平衡设计具有更低的边缘放置误差(EPE)[6]。这项工作提出了一个全面的冲突图(CCG),使详细路由器能够同时考虑DPL, OPC和掩膜密度,以实现基因速率的光刻友好布局。然后,通过在无网格路由模型中应用CCG,开发了一个dpl感知和opc友好的无网格详细路由(多普勒)。为了防止多普勒陷入次优掩模密度平衡,提出了一种基于密度变化阈值退火的路由流。与现有的感知dpl的详细路由工作相比,多普勒显示策略平均减少了73.84%的EPE热点,并获得了满意的掩膜密度,但平均增加了0.08%的线长、15.14%的针数和77.28%的运行时间。
{"title":"Doppler: DPL-aware and OPC-friendly gridless detailed routing with mask density balancing","authors":"Yen-Hung Lin, Y. Ban, D. Pan, Yih-Lang Li","doi":"10.1109/ICCAD.2011.6105343","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105343","url":null,"abstract":"The printed image of a layout that satisfies the double patterning lithograph (DPL) constraints may not have good fidelity if the layout neglects optical proximity correction (OPC). Simultaneously considering DPL and OPC becomes necessary when gene rating layouts, especially in routing stage. Moreover, one decomposed design with balanced mask density has a lower edge placement error (EPE)than an unbalance done[6]. This work proposes a compre-hensive conflict graph (CCG)to enable detailed routers to simultaneously consider DPL, OPC, and mask density to gene rate litho-friendly layouts. This work then develops an DPL-aware and OPC-friendly gridless detailed routing (DOPPLER) by applying CCG in a gridless routing model. A density variation threshold annealing-based routing flow is also proposed to prevent DOPPLER from falling into a sub-optimal mask density balance. Compared with existing DPL-aware detailed routing works, DOPPLER demon-stratesanaverage 73.84% of EPE hotspot reduction with a satisfactory mask density at the cost of an average increase of 0.08% wire-length, 15.14% number of stitches, and 77.28% runtime.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75076074","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Clocking design automation in Intel's Core i7 and future designs 英特尔酷睿i7和未来设计中的时钟设计自动化
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105341
A. M. El-Husseini, Matthew Morrise
In the competitive CPU market, emphasis is placed on automations that promotes innovation and supports design of multiple CPU configuration which meets schedule and timely turnaround to market. Design automation is essential in the design of different areas of CPU including the global clock distributions. This paper talks about design automation tools developed to handle the design of the global clock distributions for various Intel microprocessors.
在竞争激烈的CPU市场中,重点放在促进创新的自动化上,并支持多种CPU配置的设计,以满足计划和及时的市场周转。设计自动化在包括全局时钟分布在内的CPU不同区域的设计中是必不可少的。本文讨论了设计自动化工具的开发,以处理各种英特尔微处理器的全局时钟分布的设计。
{"title":"Clocking design automation in Intel's Core i7 and future designs","authors":"A. M. El-Husseini, Matthew Morrise","doi":"10.1109/ICCAD.2011.6105341","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105341","url":null,"abstract":"In the competitive CPU market, emphasis is placed on automations that promotes innovation and supports design of multiple CPU configuration which meets schedule and timely turnaround to market. Design automation is essential in the design of different areas of CPU including the global clock distributions. This paper talks about design automation tools developed to handle the design of the global clock distributions for various Intel microprocessors.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75819599","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A corner stitching compliant B∗-tree representation and its applications to analog placement 角拼接符合B *树表示法及其在模拟物放置上的应用
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105377
Hui-Fang Tsao, Pang-Yen Chou, Shih-Lun Huang, Yao-Wen Chang, Mark Po-Hung Lin, Duan-Ping Chen, Dick Liu
Modern circuit placement, especially analog placement, often needs to consider various constraints, such as symmetry, proximity, preplaced, variant, fixed-boundary, minimum separation, boundary, and fixed-outline constraints, for better electrical effects and higher performance. To handle these diverse constraints, topo-logical floorplan representations are pervasively used because of their higher flexibility and smaller solution space. Due to their intrinsic limitation in deriving module adjacency information directly from the representations themselves, however, they might incur difficulties in handling related constraints. In this paper, we work on B∗-trees, which have been shown to be most effective and efficient for floor-plan/placement problems, and present a corner stitching compliant B∗-tree (CB-tree, for short) to remedy the significant deficiency in its module adjacency handling. A CB-tree is a B∗-tree integrated with modified corner stitching to offer much higher flexibility/efficiency, especially for adjacent module identification/packing. Compared with the previous works, CB-trees can achieve the lowest time complexity for module packing with the aforementioned constraints. Experimental results show that the CB-trees achieve the best solution quality and consume the least running time for industrial designs with various constraints. In particular, our work provides key insights into the handling of comprehensive placement constraints with a topological representation.
现代电路布置,特别是模拟布置,往往需要考虑各种约束,如对称、邻近、预置、变型、固定边界、最小分离、边界和固定轮廓约束,以获得更好的电气效果和更高的性能。为了处理这些不同的约束,拓扑平面表示被广泛使用,因为它们具有更高的灵活性和更小的解决方案空间。然而,由于它们在直接从表示本身派生模块邻接信息方面的内在局限性,它们可能会在处理相关约束时遇到困难。在本文中,我们研究B∗-树,它已被证明是最有效和最有效的地板计划/放置问题,并提出一个角拼接兼容的B∗-树(简称cb -树)来弥补其模块邻接处理中的重大缺陷。cb树是一种B *树,它集成了改进的角缝,以提供更高的灵活性/效率,特别是在相邻模块识别/封装方面。与以往的工作相比,在上述约束条件下,cb树可以实现最小的模块打包时间复杂度。实验结果表明,对于具有多种约束条件的工业设计,cb树具有最佳的解质量和最少的运行时间。特别是,我们的工作提供了处理具有拓扑表示的综合放置约束的关键见解。
{"title":"A corner stitching compliant B∗-tree representation and its applications to analog placement","authors":"Hui-Fang Tsao, Pang-Yen Chou, Shih-Lun Huang, Yao-Wen Chang, Mark Po-Hung Lin, Duan-Ping Chen, Dick Liu","doi":"10.1109/ICCAD.2011.6105377","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105377","url":null,"abstract":"Modern circuit placement, especially analog placement, often needs to consider various constraints, such as symmetry, proximity, preplaced, variant, fixed-boundary, minimum separation, boundary, and fixed-outline constraints, for better electrical effects and higher performance. To handle these diverse constraints, topo-logical floorplan representations are pervasively used because of their higher flexibility and smaller solution space. Due to their intrinsic limitation in deriving module adjacency information directly from the representations themselves, however, they might incur difficulties in handling related constraints. In this paper, we work on B∗-trees, which have been shown to be most effective and efficient for floor-plan/placement problems, and present a corner stitching compliant B∗-tree (CB-tree, for short) to remedy the significant deficiency in its module adjacency handling. A CB-tree is a B∗-tree integrated with modified corner stitching to offer much higher flexibility/efficiency, especially for adjacent module identification/packing. Compared with the previous works, CB-trees can achieve the lowest time complexity for module packing with the aforementioned constraints. Experimental results show that the CB-trees achieve the best solution quality and consume the least running time for industrial designs with various constraints. In particular, our work provides key insights into the handling of comprehensive placement constraints with a topological representation.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73293596","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view 持久性和非持久性错误率降低的STT-RAM单元设计优化:统计设计视图
Pub Date : 2011-11-07 DOI: 10.1109/ICCAD.2011.6105370
Yaojun Zhang, Xiaobin Wang, Yiran Chen
The rapidly increased demands for memory in electronic industry and the significant technical scaling challenges of all conventional memory technologies motivated the researches on the next generation memory technology. As one promising candidate, spin-transfer torque random access memory (STT-RAM) features fast access time, high density, non-volatility, and good CMOS process compatibility. However, like all other nano-scale devices, the performance and reliability of STT-RAM cells are severely affected by process variations, intrinsic device operating uncertainties and environmental fluctuations. In this work, we systematically analyze the impacts of CMOS and MTJ process variations, MTJ switching uncertainties induced by thermal fluctuations and working temperature on the performance and reliability of STT-RAM cells. A combined circuit and magnetic simulation platform is also established to quantitatively analyze the persistent and non-persistent error rates during the STT-RAM cell operations. Finally, an optimization flow and its effectiveness are depicted by using some STT-RAM cell designs as case study.
电子工业对存储器需求的快速增长以及传统存储器技术在技术规模上面临的巨大挑战,推动了下一代存储器技术的研究。自旋转移扭矩随机存取存储器(STT-RAM)具有存取时间快、密度高、无挥发性和良好的CMOS工艺兼容性等特点,是一种很有前途的候选存储器。然而,像所有其他纳米级器件一样,STT-RAM单元的性能和可靠性受到工艺变化、器件固有操作不确定性和环境波动的严重影响。在这项工作中,我们系统地分析了CMOS和MTJ工艺变化,热波动和工作温度引起的MTJ开关不确定性对STT-RAM电池性能和可靠性的影响。建立了电路与磁相结合的仿真平台,定量分析了STT-RAM单元运行过程中的持续错误率和非持续错误率。最后,以STT-RAM单元设计为例,描述了优化流程及其有效性。
{"title":"STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view","authors":"Yaojun Zhang, Xiaobin Wang, Yiran Chen","doi":"10.1109/ICCAD.2011.6105370","DOIUrl":"https://doi.org/10.1109/ICCAD.2011.6105370","url":null,"abstract":"The rapidly increased demands for memory in electronic industry and the significant technical scaling challenges of all conventional memory technologies motivated the researches on the next generation memory technology. As one promising candidate, spin-transfer torque random access memory (STT-RAM) features fast access time, high density, non-volatility, and good CMOS process compatibility. However, like all other nano-scale devices, the performance and reliability of STT-RAM cells are severely affected by process variations, intrinsic device operating uncertainties and environmental fluctuations. In this work, we systematically analyze the impacts of CMOS and MTJ process variations, MTJ switching uncertainties induced by thermal fluctuations and working temperature on the performance and reliability of STT-RAM cells. A combined circuit and magnetic simulation platform is also established to quantitatively analyze the persistent and non-persistent error rates during the STT-RAM cell operations. Finally, an optimization flow and its effectiveness are depicted by using some STT-RAM cell designs as case study.","PeriodicalId":6357,"journal":{"name":"2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83287047","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 93
期刊
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1