首页 > 最新文献

2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)最新文献

英文 中文
A fine-resolution pulse-shrinking time-to-digital converter with completion detection utilizing built-in offset pulse 采用内置偏移脉冲完成检测的精细分辨率脉冲收缩时间-数字转换器
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844198
T. Iizuka, Takehisa Koga, T. Nakura, K. Asada
This paper proposes a fine-time-resolution pulse-shrinking (PS) time-to-digital converter (TDC) based on an offset pulse width detection scheme. The proposed PS TDC detects the end of the conversion utilizing a built-in offset pulse unlike the conventional PS TDCs. Thus it prevents an undesirable non-uniform shrinking rate issue in the conventional ones and contributes to fine-resolution, small-area, power-efficient and low-jitter implementation. The prototype TDC fabricated in a 0.18μm standard CMOS technology realizes 9 bit, 1.8 ps sub-gate-delay resolution with 0.07 mm2 area, and achieves 2.16 ps single-shot precision. Its power dissipation is 3.4 mW at 4.4 MS/s.
提出了一种基于偏置脉宽检测方案的精细时间分辨率脉冲收缩时间-数字转换器。与传统的PS TDC不同,所提出的PS TDC利用内置的偏移脉冲检测转换的结束。因此,它避免了传统的不均匀收缩率问题,有助于实现精细分辨率,小面积,节能和低抖动。采用0.18μm标准CMOS工艺制作的原型TDC在0.07 mm2面积上实现了9位、1.8 ps的子门延迟分辨率,单次射击精度达到2.16 ps。其功耗为3.4 mW,速率为4.4 MS/s。
{"title":"A fine-resolution pulse-shrinking time-to-digital converter with completion detection utilizing built-in offset pulse","authors":"T. Iizuka, Takehisa Koga, T. Nakura, K. Asada","doi":"10.1109/ASSCC.2016.7844198","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844198","url":null,"abstract":"This paper proposes a fine-time-resolution pulse-shrinking (PS) time-to-digital converter (TDC) based on an offset pulse width detection scheme. The proposed PS TDC detects the end of the conversion utilizing a built-in offset pulse unlike the conventional PS TDCs. Thus it prevents an undesirable non-uniform shrinking rate issue in the conventional ones and contributes to fine-resolution, small-area, power-efficient and low-jitter implementation. The prototype TDC fabricated in a 0.18μm standard CMOS technology realizes 9 bit, 1.8 ps sub-gate-delay resolution with 0.07 mm2 area, and achieves 2.16 ps single-shot precision. Its power dissipation is 3.4 mW at 4.4 MS/s.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125317830","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
A 4-GHz ΔΣ fractional-N frequency synthesizer with 2-dimensional quantization noise pushing and fractional spur elimination techniques 一种4 ghz ΔΣ分数n频率合成器,具有二维量化噪声推进和分数杂散消除技术
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844143
Chun-Yu Lin, Tsung-Hsien Lin
This paper proposes a fractional-N PLL with 2-dimensional quantization noise pushing technique to suppress the quantization noise and a fractional spur elimination technique to mitigate fractional spurs. With these techniques, the experimental results show that the quantization noise is reduced by around 30 dB, and the fractional spurs are considerably suppressed. This PLL was fabricated in a TSMC 90-nm CMOS process. The core area is 0.4 mm2. The chip dissipates 13.5 mW from a 1-V supply voltage.
本文提出了一种分数n锁相环,采用二维量化噪声推进技术抑制量化噪声,采用分数杂散消除技术抑制分数杂散。实验结果表明,量化噪声降低了约30 dB,分数杂散得到了较好的抑制。该锁相环采用台积电90纳米CMOS工艺制备。核心面积为0.4平方毫米。该芯片从1 v电源电压耗散13.5 mW。
{"title":"A 4-GHz ΔΣ fractional-N frequency synthesizer with 2-dimensional quantization noise pushing and fractional spur elimination techniques","authors":"Chun-Yu Lin, Tsung-Hsien Lin","doi":"10.1109/ASSCC.2016.7844143","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844143","url":null,"abstract":"This paper proposes a fractional-N PLL with 2-dimensional quantization noise pushing technique to suppress the quantization noise and a fractional spur elimination technique to mitigate fractional spurs. With these techniques, the experimental results show that the quantization noise is reduced by around 30 dB, and the fractional spurs are considerably suppressed. This PLL was fabricated in a TSMC 90-nm CMOS process. The core area is 0.4 mm2. The chip dissipates 13.5 mW from a 1-V supply voltage.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125550360","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A 6-to-32 Gb/s voltage-mode transmitter with scalable supply, voltage swing, and pre-emphasis in 65-nm CMOS 一种6至32 Gb/s电压模式发射器,具有可扩展的电源,电压摆动和65nm CMOS预强调
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844180
W. Bae, Haram Ju, Kwanseo Park, D. Jeong
A voltage-mode (VM) transmitter which offers a wide operation range of 6-to-32 Gb/s, controllable pre-emphasis equalization and output voltage swing without altering output impedance, and a power supply scalability is presented. A quarter-rate clocking architecture is employed in order to maximize the scalability and energy efficiency across the various operating conditions. A P-over-N VM driver is used for CMOS compatibility and wide voltage-swing range required for various I/O standards. Two supply regulators calibrate the output impedance of the VM driver across the wide swing and pre-emphasis range. A single phase-locked loop is used to provide a wide frequency range. The prototype chip is fabricated in 65-nm CMOS technology and occupies active area of 0.48×0.36 mm2. The proposed transmitter achieves 250-to-600-mV single-ended swing and exhibits the energy efficiency of 2.10-to-2.93 pJ/bit across the data rate of 6-to-32 Gb/s.
提出了一种工作范围为6 ~ 32gb /s的电压模式(VM)变送器,该变送器在不改变输出阻抗的情况下具有可控的预强调均衡和输出电压摆幅,并具有电源的可扩展性。为了最大限度地提高各种操作条件下的可扩展性和能源效率,采用了四分之一速率时钟架构。P-over-N VM驱动器用于CMOS兼容性和各种I/O标准所需的宽电压摆幅范围。两个电源调节器在宽摆幅和预强调范围内校准VM驱动器的输出阻抗。单锁相环用于提供宽频率范围。原型芯片采用65纳米CMOS技术制造,有效面积为0.48×0.36 mm2。该发射机实现了250 ~ 600 mv单端摆幅,在6 ~ 32 Gb/s数据速率下的能量效率为2.10 ~ 2.93 pJ/bit。
{"title":"A 6-to-32 Gb/s voltage-mode transmitter with scalable supply, voltage swing, and pre-emphasis in 65-nm CMOS","authors":"W. Bae, Haram Ju, Kwanseo Park, D. Jeong","doi":"10.1109/ASSCC.2016.7844180","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844180","url":null,"abstract":"A voltage-mode (VM) transmitter which offers a wide operation range of 6-to-32 Gb/s, controllable pre-emphasis equalization and output voltage swing without altering output impedance, and a power supply scalability is presented. A quarter-rate clocking architecture is employed in order to maximize the scalability and energy efficiency across the various operating conditions. A P-over-N VM driver is used for CMOS compatibility and wide voltage-swing range required for various I/O standards. Two supply regulators calibrate the output impedance of the VM driver across the wide swing and pre-emphasis range. A single phase-locked loop is used to provide a wide frequency range. The prototype chip is fabricated in 65-nm CMOS technology and occupies active area of 0.48×0.36 mm2. The proposed transmitter achieves 250-to-600-mV single-ended swing and exhibits the energy efficiency of 2.10-to-2.93 pJ/bit across the data rate of 6-to-32 Gb/s.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121370391","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 65-nm 0.35-V 7.1-μW memory-less adaptive PCG processor for wearable long-term cardiac monitoring 65 nm 0.35 v 7.1 μ w无内存自适应PCG处理器,用于可穿戴式长期心脏监测
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844202
Chao Wang, Jianmin Zhang, Jun Zhou, Xin Liu, R. Tan, Liang Zhong, K. Chai
In this paper, an energy-efficient phonocardiogram (PCG) signal processor is proposed for wearable long-term cardiac monitoring. To achieve high energy efficiency, the proposed PCG processor employs pipelined and memory-less adaptive architecture to implement wavelet-domain statistic based real-time de-noising, heart sound peak detection, false peak filtering, S1/S2 peak identification and cardiac feature extraction. The statistic threshold based memory-less adaptive processing scheme is developed to reduce computation and storage requirement, and therefore energy consumption significantly. At circuit level, sub/near-threshold minimum-energy design technique is adopted to enable processor to operate at the minimum energy point to maximize the energy efficiency. At the optimum operating point of 0.35 V and 2.1 MHz, the fabricated 65-nm PCG processor consumes 7.1 μW and 272 nJ when performing real-time S1/S2 detection of a 20-s heart sound signals with 99.2%/89.9% accuracy.
本文提出了一种用于可穿戴式长期心脏监测的节能心音图(PCG)信号处理器。为了实现高能效,PCG处理器采用流水线式无内存自适应架构,实现基于小波域统计的实时降噪、心音峰值检测、假峰值滤波、S1/S2峰值识别和心脏特征提取。提出了基于统计阈值的无内存自适应处理方案,减少了计算量和存储量,从而显著降低了能耗。在电路层面,采用亚/近阈值最小能量设计技术,使处理器能在最小能量点运行,使能量效率最大化。在最佳工作电压为0.35 V和2.1 MHz时,该65 nm PCG处理器对20 s的心音信号进行实时S1/S2检测,功耗为7.1 μW和272 nJ,准确率为99.2%/89.9%。
{"title":"A 65-nm 0.35-V 7.1-μW memory-less adaptive PCG processor for wearable long-term cardiac monitoring","authors":"Chao Wang, Jianmin Zhang, Jun Zhou, Xin Liu, R. Tan, Liang Zhong, K. Chai","doi":"10.1109/ASSCC.2016.7844202","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844202","url":null,"abstract":"In this paper, an energy-efficient phonocardiogram (PCG) signal processor is proposed for wearable long-term cardiac monitoring. To achieve high energy efficiency, the proposed PCG processor employs pipelined and memory-less adaptive architecture to implement wavelet-domain statistic based real-time de-noising, heart sound peak detection, false peak filtering, S1/S2 peak identification and cardiac feature extraction. The statistic threshold based memory-less adaptive processing scheme is developed to reduce computation and storage requirement, and therefore energy consumption significantly. At circuit level, sub/near-threshold minimum-energy design technique is adopted to enable processor to operate at the minimum energy point to maximize the energy efficiency. At the optimum operating point of 0.35 V and 2.1 MHz, the fabricated 65-nm PCG processor consumes 7.1 μW and 272 nJ when performing real-time S1/S2 detection of a 20-s heart sound signals with 99.2%/89.9% accuracy.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132570254","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A 5.92-Mb/mm2 28-nm pseudo 2-read/write dual-port SRAM using double pumping circuitry 5.92 mb /mm2 28纳米伪2读/写双端口SRAM,采用双泵浦电路
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844124
Y. Ishii, M. Yabuuchi, Yohei Sawada, M. Morimoto, Y. Tsukamoto, Y. Yoshida, Ken Shibata, T. Sano, S. Tanaka, K. Nii
We propose pseudo dual-port (DP) SRAM by using 6T single-port (SP) SRAM bitcell with double pumping circuitry, which enables 2-read/write (2RW) operation within a clock cycle. The data sequencer for address/data latch and double output sense amplifier realize the simulations read-read or write-write operation. We designed and implemented a 512-kb pseudo DP SRAM macro based on 28-nm low-power bulk CMOS technology. Our design achieved the bit density of 5.92 Mb/mm2, which is the highest ever reported. Measured data at 1.0 V supply voltage shows 1.05 ns read-access-time for one port and 2.37 ns for another port, respectively. Area overhead of the proposed circuitry is only 3.2% compared to the original SP SRAM macro.
我们提出了伪双端口(DP) SRAM,通过使用6T单端口(SP) SRAM位单元和双泵浦电路,它可以在一个时钟周期内实现2读/写(2RW)操作。地址/数据锁存器的数据排序器和双输出感测放大器实现了模拟的读-读或写-写操作。我们设计并实现了一个基于28纳米低功耗块体CMOS技术的512 kb伪DP SRAM宏。我们的设计实现了5.92 Mb/mm2的比特密度,这是有史以来最高的。在1.0 V供电电压下的测量数据显示,一个端口的读取访问时间为1.05 ns,另一个端口的读取访问时间为2.37 ns。与原始SP SRAM宏相比,所提出电路的面积开销仅为3.2%。
{"title":"A 5.92-Mb/mm2 28-nm pseudo 2-read/write dual-port SRAM using double pumping circuitry","authors":"Y. Ishii, M. Yabuuchi, Yohei Sawada, M. Morimoto, Y. Tsukamoto, Y. Yoshida, Ken Shibata, T. Sano, S. Tanaka, K. Nii","doi":"10.1109/ASSCC.2016.7844124","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844124","url":null,"abstract":"We propose pseudo dual-port (DP) SRAM by using 6T single-port (SP) SRAM bitcell with double pumping circuitry, which enables 2-read/write (2RW) operation within a clock cycle. The data sequencer for address/data latch and double output sense amplifier realize the simulations read-read or write-write operation. We designed and implemented a 512-kb pseudo DP SRAM macro based on 28-nm low-power bulk CMOS technology. Our design achieved the bit density of 5.92 Mb/mm2, which is the highest ever reported. Measured data at 1.0 V supply voltage shows 1.05 ns read-access-time for one port and 2.37 ns for another port, respectively. Area overhead of the proposed circuitry is only 3.2% compared to the original SP SRAM macro.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"99 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131243574","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A 0.9V 15fJ/conversion-step 8-bit 1.5GS/s two-step SAR ADC 一个0.9V 15fJ/转换步8位1.5GS/s两步SAR ADC
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844140
Yao-Sheng Hu, Po-Chao Huang, Mi-Ti Yang, Shih-Wei Wu, Hsin-Shu Chen
An 8-bit 1.5GS/s 2-way two-step SAR ADC operating at 0.9V is presented in this paper. A low-skew demultiplexer circuit is proposed to synchronize the sampled signals of the two sub-ADCs with the edge of global clock. The sharing of the quarter clock phase generator leads to lower power consumption. A charge-sharing technique without any interstage residue amplifier not only makes the two-step SAR sub-ADC low-power, but also accelerates its conversion rate. A self-trigger latch (STL) technique is also used to reduce digital power consumption. The prototype ADC in 40nm CMOS consumes 3.1mW at 1.5GS/s with a 0.9V supply. It achieves a Nyquist SNDR of 44.5dB and results in an FoM of 15fJ/c.-s.
本文介绍了一种工作电压为0.9V的8位1.5GS/s 2路两步SAR ADC。提出了一种低偏斜解复用电路,将两个子adc的采样信号与全局时钟边缘同步。共享四分之一时钟相位发生器可以降低功耗。采用无级间残留放大器的电荷共享技术,不仅降低了两步SAR子adc的功耗,而且提高了转换速率。自触发锁存器(STL)技术也用于降低数字功耗。40nm CMOS的原型ADC在0.9V电源下,以1.5GS/s的速度消耗3.1mW。Nyquist SNDR为44.5dB, FoM为15fJ/c -s。
{"title":"A 0.9V 15fJ/conversion-step 8-bit 1.5GS/s two-step SAR ADC","authors":"Yao-Sheng Hu, Po-Chao Huang, Mi-Ti Yang, Shih-Wei Wu, Hsin-Shu Chen","doi":"10.1109/ASSCC.2016.7844140","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844140","url":null,"abstract":"An 8-bit 1.5GS/s 2-way two-step SAR ADC operating at 0.9V is presented in this paper. A low-skew demultiplexer circuit is proposed to synchronize the sampled signals of the two sub-ADCs with the edge of global clock. The sharing of the quarter clock phase generator leads to lower power consumption. A charge-sharing technique without any interstage residue amplifier not only makes the two-step SAR sub-ADC low-power, but also accelerates its conversion rate. A self-trigger latch (STL) technique is also used to reduce digital power consumption. The prototype ADC in 40nm CMOS consumes 3.1mW at 1.5GS/s with a 0.9V supply. It achieves a Nyquist SNDR of 44.5dB and results in an FoM of 15fJ/c.-s.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116677155","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Reprogrammable redundancy for cache Vmin reduction in a 28nm RISC-V processor 可重新编程的冗余缓存Vmin减少在28nm RISC-V处理器
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844150
B. Zimmer, P. Chiu, B. Nikolić, K. Asanović
The presented processor lowers SRAM-based cache Vmin by using three architectural techniques-bit bypass (BB), dynamic column redundancy (DCR), and line disable (LD)-that use low-overhead reprogrammable redundancy (RR) to avoid failing bitcells and therefore increase the maximum bitcell failure rate in processor caches. In the 28nm chip, the Vmin of the 1MB L2 cache is reduced by 25%, resulting in a 49% power reduction with a 2% area overhead and minimal timing overhead.
所提出的处理器通过使用三种架构技术(位旁路(BB)、动态列冗余(DCR)和线路禁用(LD))来降低基于sram的缓存Vmin,这些技术使用低开销的可重新编程冗余(RR)来避免位单元故障,从而提高处理器缓存中的最大位单元故障率。在28nm芯片中,1MB L2缓存的Vmin降低了25%,从而降低了49%的功耗,面积开销为2%,时间开销最小。
{"title":"Reprogrammable redundancy for cache Vmin reduction in a 28nm RISC-V processor","authors":"B. Zimmer, P. Chiu, B. Nikolić, K. Asanović","doi":"10.1109/ASSCC.2016.7844150","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844150","url":null,"abstract":"The presented processor lowers SRAM-based cache Vmin by using three architectural techniques-bit bypass (BB), dynamic column redundancy (DCR), and line disable (LD)-that use low-overhead reprogrammable redundancy (RR) to avoid failing bitcells and therefore increase the maximum bitcell failure rate in processor caches. In the 28nm chip, the Vmin of the 1MB L2 cache is reduced by 25%, resulting in a 49% power reduction with a 2% area overhead and minimal timing overhead.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115224625","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A 2GS/s 8b time-interleaved SAR ADC for millimeter-wave pulsed radar baseband SoC 用于毫米波脉冲雷达基带SoC的2GS/s 8b时间交错SAR ADC
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844121
Takuji Miki, T. Ozeki, Junpei Naka
This paper presents a 2 GS/s 8-bit 16× time-interleaved (TI) ADC for millimeter-wave pulsed radar baseband SoC in 40nm CMOS. An extremely-compact foreground timing calibration suppresses sampling clock skews among sub-ADCs within 400fs. Measured SFDR and SNDR at 1GHz full-Nyquist is therefore enhanced by 16dB and 11dB, respectively. Unlike conventional calibration based on redundant ADCs or DSP-assisted calculations, just a few small resistors and a capacitor are needed, resulting in only 0.4% area penalty. This area saving enables the compact integration of the radar SoC with beamforming where 8-channel TI-ADCs occupy the dominant chip area otherwise. Even though this is foreground, no system performance is sacrificed because the calibration sequence is closed-loop and fast enough to be executed during an existing calibration interval in a periodic beam transmission sequence. Thanks to the foreground scheme, the TI-ADC including the input buffer consumes only 54.2mW and 355fJ/step.
提出了一种用于40nm CMOS毫米波脉冲雷达基带SoC的2 GS/s 8位16×时间交错(TI) ADC。一个非常紧凑的前景时序校准抑制采样时钟偏差之间的子adc在400fs。因此,在1GHz full-Nyquist下测量的SFDR和SNDR分别提高了16dB和11dB。与传统的基于冗余adc或dsp辅助计算的校准不同,只需要几个小电阻和一个电容器,只需要0.4%的面积损失。这种面积节省使得雷达SoC与波束形成的紧凑集成成为可能,否则8通道ti - adc将占据主要的芯片面积。即使这是前景,也不会牺牲系统性能,因为校准序列是闭环的,并且足够快,可以在周期波束传输序列的现有校准间隔内执行。由于前景方案,包含输入缓冲器的TI-ADC仅消耗54.2mW和355fJ/步。
{"title":"A 2GS/s 8b time-interleaved SAR ADC for millimeter-wave pulsed radar baseband SoC","authors":"Takuji Miki, T. Ozeki, Junpei Naka","doi":"10.1109/ASSCC.2016.7844121","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844121","url":null,"abstract":"This paper presents a 2 GS/s 8-bit 16× time-interleaved (TI) ADC for millimeter-wave pulsed radar baseband SoC in 40nm CMOS. An extremely-compact foreground timing calibration suppresses sampling clock skews among sub-ADCs within 400fs. Measured SFDR and SNDR at 1GHz full-Nyquist is therefore enhanced by 16dB and 11dB, respectively. Unlike conventional calibration based on redundant ADCs or DSP-assisted calculations, just a few small resistors and a capacitor are needed, resulting in only 0.4% area penalty. This area saving enables the compact integration of the radar SoC with beamforming where 8-channel TI-ADCs occupy the dominant chip area otherwise. Even though this is foreground, no system performance is sacrificed because the calibration sequence is closed-loop and fast enough to be executed during an existing calibration interval in a periodic beam transmission sequence. Thanks to the foreground scheme, the TI-ADC including the input buffer consumes only 54.2mW and 355fJ/step.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128386228","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A model predictive control equalization transmitter for asymmetric interfaces in 28nm FDSOI 28nm FDSOI非对称接口模型预测控制均衡发射机
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844179
Taehwan Kim, Pavan Bhargava, V. Stojanović
An all-digital nonlinear transmit-side equalization technique based on Model Predictive Control (MPC) is presented. The MPC equalizer performs an online optimization subject to eye height constraints at the RX using transmit waveform as a variable. Compared to existing TX equalization schemes such as IIR or Tomlinson-Harashima Precoding, MPC has been shown to achieve the best eye performance for any type of channel, especially when the control signal resolution is low, with minimal overhead at the RX. A simplified Direct Search algorithm and architecture optimization techniques are proposed to realize the MPC equalizer for high-speed links. A 20-tap MPC transmitter has been implemented in 28nm FDSOI technology. For a multidrop channel, the equalizer achieves opening of a closed eye at 4.5Gb/s for PAM2 and 6Gb/s for PAM4 mode.
提出了一种基于模型预测控制(MPC)的全数字非线性传输侧均衡技术。MPC均衡器在RX上使用传输波形作为变量执行在线优化,该优化受眼高度约束。与现有的TX均衡方案(如IIR或Tomlinson-Harashima预编码)相比,MPC已被证明可以在任何类型的通道中实现最佳的眼睛性能,特别是当控制信号分辨率较低时,在RX上的开销最小。提出了一种简化的直接搜索算法和结构优化技术来实现高速链路的MPC均衡器。采用28nm FDSOI技术实现了20分路MPC发射机。对于多滴通道,均衡器以4.5Gb/s的PAM2和6Gb/s的PAM4模式实现闭眼打开。
{"title":"A model predictive control equalization transmitter for asymmetric interfaces in 28nm FDSOI","authors":"Taehwan Kim, Pavan Bhargava, V. Stojanović","doi":"10.1109/ASSCC.2016.7844179","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844179","url":null,"abstract":"An all-digital nonlinear transmit-side equalization technique based on Model Predictive Control (MPC) is presented. The MPC equalizer performs an online optimization subject to eye height constraints at the RX using transmit waveform as a variable. Compared to existing TX equalization schemes such as IIR or Tomlinson-Harashima Precoding, MPC has been shown to achieve the best eye performance for any type of channel, especially when the control signal resolution is low, with minimal overhead at the RX. A simplified Direct Search algorithm and architecture optimization techniques are proposed to realize the MPC equalizer for high-speed links. A 20-tap MPC transmitter has been implemented in 28nm FDSOI technology. For a multidrop channel, the equalizer achieves opening of a closed eye at 4.5Gb/s for PAM2 and 6Gb/s for PAM4 mode.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121018821","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
An OTA-C signal processing FPAA with 305 MHz GBW and integrated frequency-independent filter tuning 305mhz GBW和集成频率无关滤波器调谐的OTA-C信号处理FPAA
Pub Date : 2016-11-01 DOI: 10.1109/ASSCC.2016.7844135
Daniel DeDorigo, Y. Manoli
This paper presents a field-programmable analog array (FPAA) with on-chip automatic filter tuning in a 130nm CMOS technology. Parasitic capacitances in the signal nodes are compensated to eliminate the constraints on the regularity of the array and to enable the implementation of 12 capacitance arrays, 2 mixers and 62 OTAs on a die area of 0.8 mm2. The tuning technique is independent on the frequency of the target filter and operates in the full configurable GBW range of a gm-C unit from 42kHz to 305MHz. The implementation is scalable to larger array sizes and enables the configuration of arbitrary filter functions with a deviation (sigma) smaller than 2.175%.
本文提出了一种具有片上自动滤波器调谐功能的现场可编程模拟阵列(FPAA),采用130nm CMOS技术。对信号节点中的寄生电容进行补偿,以消除对阵列规则性的限制,并在0.8 mm2的芯片面积上实现12个电容阵列、2个混频器和62个ota。调谐技术独立于目标滤波器的频率,并在从42kHz到305MHz的gm-C单元的完全可配置GBW范围内工作。该实现可扩展到更大的数组大小,并支持配置偏差(sigma)小于2.175%的任意滤波器函数。
{"title":"An OTA-C signal processing FPAA with 305 MHz GBW and integrated frequency-independent filter tuning","authors":"Daniel DeDorigo, Y. Manoli","doi":"10.1109/ASSCC.2016.7844135","DOIUrl":"https://doi.org/10.1109/ASSCC.2016.7844135","url":null,"abstract":"This paper presents a field-programmable analog array (FPAA) with on-chip automatic filter tuning in a 130nm CMOS technology. Parasitic capacitances in the signal nodes are compensated to eliminate the constraints on the regularity of the array and to enable the implementation of 12 capacitance arrays, 2 mixers and 62 OTAs on a die area of 0.8 mm2. The tuning technique is independent on the frequency of the target filter and operates in the full configurable GBW range of a gm-C unit from 42kHz to 305MHz. The implementation is scalable to larger array sizes and enables the configuration of arbitrary filter functions with a deviation (sigma) smaller than 2.175%.","PeriodicalId":278002,"journal":{"name":"2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)","volume":"11 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128062814","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1