首页 > 最新文献

2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)最新文献

英文 中文
A low complexity lossless data compressor IP-core for satellite images 用于卫星图像的低复杂度无损数据压缩ip核
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344431
Y. G. G. D. Costa, José Antônio Gomes de Lima, Guilherme Navarro
As the technology advances, space imaging systems use equipment of increasing resolutions. Hence, it is necessary to ensure that this great quantity of data arrives at its destination reliably. Among some variables involved, data compression plays an important role to accomplish this requirement. In this context, this paper proposes a digital hardware approach of a low complexity satellite image lossless compressor based on prediction and Golomb-Rice coding, which has achieved excellent results considering hardware and compression performance. In order to validate and analyze the compressor, a functional verification and FPGA prototyping methodology were followed. Given an image set from Brazilian's National Institute for Space Research (INPE, in Portuguese acronyms), its results on FPGA show that this compressor achieves compression ratio around 3.4, comparable value to related works in this area, and throughput of 28 MPixel/s (224 Mbit/s). Taking advantage of images nature, its compression can be parallelized through simultaneous multi-cores compressors. For example, using 5 cores, this work is able to compress those images in a rate of 142 MPixel/s (1.1 Gbit/s). All these features make it useful and effective as a current remote sensing imaging system.
随着技术的进步,空间成像系统所使用的设备分辨率越来越高。因此,有必要确保如此大量的数据可靠地到达目的地。在涉及的一些变量中,数据压缩在实现这一要求方面起着重要作用。在此背景下,本文提出了一种基于预测和Golomb-Rice编码的低复杂度卫星图像无损压缩器的数字硬件方法,从硬件和压缩性能两方面考虑,都取得了很好的效果。为了验证和分析该压缩器,采用了功能验证和FPGA原型设计方法。给定来自巴西国家空间研究所(INPE)的图像集,其在FPGA上的结果表明,该压缩器的压缩比约为3.4,与该领域的相关工作相当,吞吐量为28 MPixel/s (224 Mbit/s)。利用图像的特性,可以通过多核并行压缩器实现并行压缩。例如,使用5个核心,这项工作能够以142mpixel /s (1.1 Gbit/s)的速率压缩这些图像。这些特点使其成为一种实用有效的遥感成像系统。
{"title":"A low complexity lossless data compressor IP-core for satellite images","authors":"Y. G. G. D. Costa, José Antônio Gomes de Lima, Guilherme Navarro","doi":"10.1109/SBCCI.2012.6344431","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344431","url":null,"abstract":"As the technology advances, space imaging systems use equipment of increasing resolutions. Hence, it is necessary to ensure that this great quantity of data arrives at its destination reliably. Among some variables involved, data compression plays an important role to accomplish this requirement. In this context, this paper proposes a digital hardware approach of a low complexity satellite image lossless compressor based on prediction and Golomb-Rice coding, which has achieved excellent results considering hardware and compression performance. In order to validate and analyze the compressor, a functional verification and FPGA prototyping methodology were followed. Given an image set from Brazilian's National Institute for Space Research (INPE, in Portuguese acronyms), its results on FPGA show that this compressor achieves compression ratio around 3.4, comparable value to related works in this area, and throughput of 28 MPixel/s (224 Mbit/s). Taking advantage of images nature, its compression can be parallelized through simultaneous multi-cores compressors. For example, using 5 cores, this work is able to compress those images in a rate of 142 MPixel/s (1.1 Gbit/s). All these features make it useful and effective as a current remote sensing imaging system.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127844328","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Power consumption reduction in MPSoCs through DFS 通过DFS降低mpsoc的功耗
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344429
T. Rosa, Vivian Larrea, Ney Laert Vilar Calazans, F. Moraes
The use of power management techniques is mandatory in embedded devices, which must provide high performance with low energy consumption. Due to the high variability present in the applications workload executed by these devices, this management should be executed dynamically. The use of traditional dynamic voltage and frequency scaling (DVFS) techniques proved to be useful in several scenarios to save energy. Nonetheless, due to technology scaling that limits the voltage variation and slow response of the DVFS schemes, the use of such technique may become inadequate. As alternative, the use of dynamic frequency scaling (DFS) may provide a good trade-off between power savings and power overhead. This paper proposes a distributed DFS scheme for NoC-based MPSoCs. Both NoC and PEs have an individual controlling scheme. The DFS scheme for PEs takes into account its computation and communication load to dynamically change the operating frequency. In the NoC, the DFS controller uses packet information to decide the router operating frequency. Real and synthetic applications were used to evaluate the proposed scheme. Results show that the number of executed instructions is reduced up to 41%, with an execution time overhead up to 18%. The power dissipation is reduced in PEs up to 26% and in the NoC up to 76%.
电源管理技术在嵌入式设备中是强制性的,它必须以低能耗提供高性能。由于这些设备执行的应用程序工作负载存在高度可变性,因此应该动态执行此管理。使用传统的动态电压和频率缩放(DVFS)技术被证明在几种情况下是有用的,以节省能源。然而,由于技术规模限制了电压变化和DVFS方案的缓慢响应,这种技术的使用可能会变得不充分。作为替代方案,使用动态频率缩放(DFS)可以在节省电力和电力开销之间提供一个很好的权衡。提出了一种基于noc的mpsoc分布式DFS方案。NoC和pe都有各自的控制方案。pe的DFS方案考虑了pe的计算量和通信负荷,实现了运行频率的动态变化。在NoC中,DFS控制器使用包信息来决定路由器的工作频率。通过实际应用和综合应用对该方案进行了评价。结果表明,执行指令的数量最多减少了41%,执行时间开销最多减少了18%。pe的功耗降低了26%,NoC的功耗降低了76%。
{"title":"Power consumption reduction in MPSoCs through DFS","authors":"T. Rosa, Vivian Larrea, Ney Laert Vilar Calazans, F. Moraes","doi":"10.1109/SBCCI.2012.6344429","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344429","url":null,"abstract":"The use of power management techniques is mandatory in embedded devices, which must provide high performance with low energy consumption. Due to the high variability present in the applications workload executed by these devices, this management should be executed dynamically. The use of traditional dynamic voltage and frequency scaling (DVFS) techniques proved to be useful in several scenarios to save energy. Nonetheless, due to technology scaling that limits the voltage variation and slow response of the DVFS schemes, the use of such technique may become inadequate. As alternative, the use of dynamic frequency scaling (DFS) may provide a good trade-off between power savings and power overhead. This paper proposes a distributed DFS scheme for NoC-based MPSoCs. Both NoC and PEs have an individual controlling scheme. The DFS scheme for PEs takes into account its computation and communication load to dynamically change the operating frequency. In the NoC, the DFS controller uses packet information to decide the router operating frequency. Real and synthetic applications were used to evaluate the proposed scheme. Results show that the number of executed instructions is reduced up to 41%, with an execution time overhead up to 18%. The power dissipation is reduced in PEs up to 26% and in the NoC up to 76%.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121295890","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
FPGA design methodology for DSP industrial applications - A case study of a three-phase positive-sequence detector 用于DSP工业应用的FPGA设计方法-三相正序检测器的案例研究
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344430
P. S. B. Nascimento, F. Neves, H. Souza, M. A. O. Domingues
Very important fields for utilization of FPGA technology are industrial applications such as power converters, renewable energy systems, uninterruptible power supplies, active power filters, dynamic voltage restorers and power systems protection. Many of these applications require the detection of three-phase signals characteristics. Generally, these techniques are implemented in DSP devices, with the execution time limited by sample rates of input signals. FPGA implementation results in several advantages. In this paper, an FPGA design flow for industrial digital signal processing is proposed. The first contribution is a metric for comparison between FPGA devices and DSP devices. This metric estimates the quality of implementations based on the efficiency of resources utilization in both technologies. A case study of a magnitude and phase angle detector of the fundamental-frequency positive-sequence component of a three-phase signal is presented. As a second contribution, a proposed FPGA-controller architecture is presented. For validation of the proposed metric, the advantages and possibilities of the use of FPGA in the case study are demonstrated in comparison with the DSP, in terms of the efficiency of resource usage metric, which is based on the capacity for increasing the application algorithms complexity and capacity of exploring the parallelism of operations, allowing for a much shorter execution time.
FPGA技术的重要应用领域是工业应用,如电源变换器、可再生能源系统、不间断电源、有源电源滤波器、动态电压恢复器和电力系统保护。许多这些应用需要检测三相信号的特性。通常,这些技术是在DSP设备中实现的,执行时间受输入信号采样率的限制。FPGA实现有几个优点。本文提出了一种用于工业数字信号处理的FPGA设计流程。第一个贡献是FPGA器件和DSP器件之间的比较度量。该度量根据两种技术中资源利用的效率来估计实现的质量。给出了三相信号基频正序分量的幅相角检测器的实例研究。作为第二贡献,提出了一种fpga控制器架构。为了验证所提出的度量,在案例研究中使用FPGA与DSP的优势和可能性进行了比较,在资源使用度量的效率方面,这是基于增加应用算法复杂性的能力和探索操作并行性的能力,允许更短的执行时间。
{"title":"FPGA design methodology for DSP industrial applications - A case study of a three-phase positive-sequence detector","authors":"P. S. B. Nascimento, F. Neves, H. Souza, M. A. O. Domingues","doi":"10.1109/SBCCI.2012.6344430","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344430","url":null,"abstract":"Very important fields for utilization of FPGA technology are industrial applications such as power converters, renewable energy systems, uninterruptible power supplies, active power filters, dynamic voltage restorers and power systems protection. Many of these applications require the detection of three-phase signals characteristics. Generally, these techniques are implemented in DSP devices, with the execution time limited by sample rates of input signals. FPGA implementation results in several advantages. In this paper, an FPGA design flow for industrial digital signal processing is proposed. The first contribution is a metric for comparison between FPGA devices and DSP devices. This metric estimates the quality of implementations based on the efficiency of resources utilization in both technologies. A case study of a magnitude and phase angle detector of the fundamental-frequency positive-sequence component of a three-phase signal is presented. As a second contribution, a proposed FPGA-controller architecture is presented. For validation of the proposed metric, the advantages and possibilities of the use of FPGA in the case study are demonstrated in comparison with the DSP, in terms of the efficiency of resource usage metric, which is based on the capacity for increasing the application algorithms complexity and capacity of exploring the parallelism of operations, allowing for a much shorter execution time.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"218 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132655907","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Top-down design for Low power Multi-bit Sigma-Delta Modulator 低功耗多比特Sigma-Delta调制器的自顶向下设计
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344448
H. Cubas, J. Soares
The present paper reports a top-down design for the design of a Low Power Sigma-Delta Modulator, going from determining the architecture and specifications to the transistor-level design. A Multi-bit CIFF (Chain of Integrators with Feed Forward) implementation was chosen for low power consumption. The Sigma-Delta Modulator is designed in the 0.18 μm IBM CMOS technology and has a 98dB Dynamic Range, 2 Vpp Full Scale, and 20-20 kHz input bandwidth (Audio Bandwidth). The final circuit reached a simulated power consumption of 2.77 mW (Cadence), for 1.8 V power supply.
本文报道了一种低功耗Sigma-Delta调制器的自顶向下设计,从确定结构和规格到晶体管级设计。为了降低功耗,我们选择了多比特的前馈集成商链(Chain of Integrators with Feed Forward)实现。Sigma-Delta调制器采用0.18 μm IBM CMOS技术设计,动态范围为98dB,满量程为2 Vpp,输入带宽为20-20 kHz(音频带宽)。在1.8 V电源下,最终电路达到了2.77 mW (Cadence)的模拟功耗。
{"title":"Top-down design for Low power Multi-bit Sigma-Delta Modulator","authors":"H. Cubas, J. Soares","doi":"10.1109/SBCCI.2012.6344448","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344448","url":null,"abstract":"The present paper reports a top-down design for the design of a Low Power Sigma-Delta Modulator, going from determining the architecture and specifications to the transistor-level design. A Multi-bit CIFF (Chain of Integrators with Feed Forward) implementation was chosen for low power consumption. The Sigma-Delta Modulator is designed in the 0.18 μm IBM CMOS technology and has a 98dB Dynamic Range, 2 Vpp Full Scale, and 20-20 kHz input bandwidth (Audio Bandwidth). The final circuit reached a simulated power consumption of 2.77 mW (Cadence), for 1.8 V power supply.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"171 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132326603","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Communication software synthesis from UML-ESL models 从UML-ESL模型合成通信软件
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344449
Thiago N. C. Cardoso, E. Barros, B. Prado, Andre Aziz
The electronic devices market demands a larger amount of functionality integrated into a single product. To address this demand, the industry migrated to solutions based on processors, increasing the software role in the systems. However, processor-based solutions raises the design complexity due to the complexity of Hardware-dependent Software (HdS). To cope with this complexity, the virtual platforms approach is applied, in which the whole system is modeled in order to reduce the design time. Nowadays, much of this work is manually performed, synthesizing all structures and behavior required in a system level design language (SLDL). However, with the increasing systems complexity, it is becoming impractical to continue performing this synthesis manually. In the last decade, several studies have addressed the synthesis of software components from descriptions in SLDLs and recently in the Unified Modeling Language (UML). Although significant automation has been obtained, there are limitations in the abstraction achieved. In order to raise the abstraction of the description, the UML-ESL profile was proposed to abstract the communication structure, with a synthesis technique for communication between software and hardware interfaces. This work presents a technique to synthesize the multitasking support and the communication between software components of the system from a description in UML-ESL for virtual platform simulation. The results obtained showed up to 60% decrease in the amount of code manually written.
电子设备市场要求将更多的功能集成到单个产品中。为了满足这一需求,业界迁移到基于处理器的解决方案,增加了软件在系统中的作用。然而,基于处理器的解决方案由于硬件相关软件(HdS)的复杂性而增加了设计的复杂性。为了应对这种复杂性,采用虚拟平台方法,对整个系统进行建模,以减少设计时间。现在,大部分工作都是手工完成的,综合系统级设计语言(SLDL)所需的所有结构和行为。然而,随着系统复杂性的增加,继续手动执行这种合成变得不切实际。在过去的十年中,一些研究从sldl中的描述和最近的统一建模语言(UML)中处理了软件组件的合成。尽管已经获得了重要的自动化,但是在实现的抽象中仍然存在限制。为了提高描述的抽象性,提出了UML-ESL概要文件对通信结构进行抽象,并采用软硬件接口间通信的综合技术。本文从UML-ESL的描述出发,提出了一种综合系统多任务支持和软件组件间通信的技术,用于虚拟平台仿真。所获得的结果显示,手工编写的代码量减少了60%。
{"title":"Communication software synthesis from UML-ESL models","authors":"Thiago N. C. Cardoso, E. Barros, B. Prado, Andre Aziz","doi":"10.1109/SBCCI.2012.6344449","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344449","url":null,"abstract":"The electronic devices market demands a larger amount of functionality integrated into a single product. To address this demand, the industry migrated to solutions based on processors, increasing the software role in the systems. However, processor-based solutions raises the design complexity due to the complexity of Hardware-dependent Software (HdS). To cope with this complexity, the virtual platforms approach is applied, in which the whole system is modeled in order to reduce the design time. Nowadays, much of this work is manually performed, synthesizing all structures and behavior required in a system level design language (SLDL). However, with the increasing systems complexity, it is becoming impractical to continue performing this synthesis manually. In the last decade, several studies have addressed the synthesis of software components from descriptions in SLDLs and recently in the Unified Modeling Language (UML). Although significant automation has been obtained, there are limitations in the abstraction achieved. In order to raise the abstraction of the description, the UML-ESL profile was proposed to abstract the communication structure, with a synthesis technique for communication between software and hardware interfaces. This work presents a technique to synthesize the multitasking support and the communication between software components of the system from a description in UML-ESL for virtual platform simulation. The results obtained showed up to 60% decrease in the amount of code manually written.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128872791","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Kernel analysis for architecture design trade off in convolution-based image filtering 基于卷积的图像滤波中结构设计权衡的核分析
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344453
J. Y. Mori, C. Llanos, P. Berger
Intending to improve design trade offs in image processing architectures this work presents some kernel analysis for convolution-based image filtering. Some well-known filter kernels have been analyzed in order to identify symmetries and to allow the development of alternative architectures that can contribute to reduce power consumption and/or FPGA resources, maintaining or improving the overall system throughput. The separable kernel technique is also analyzed, and two architectures were developed and tested. Additionally, a technique based on overlapping kernels have been developed, analyzed and tested. All architectures were implemented and synthesized using Altera Quartus II EDA software and prototyped in four real-time image processing platforms. These platforms are composed by a CMOS camera, four Terasic FPGA development kits (with Altera devices) and an LCD. Synthesis, simulations and real-time results show the suitability of such architectures for this kind of design trade off.
为了改善图像处理架构的设计权衡,本工作提出了一些基于卷积的图像滤波的核分析。为了识别对称性,并允许开发替代架构,从而有助于降低功耗和/或FPGA资源,维持或提高整体系统吞吐量,对一些知名的滤波器内核进行了分析。分析了可分离核技术,开发了两种体系结构并进行了测试。此外,还开发了一种基于重叠核的技术,并对其进行了分析和测试。所有架构都使用Altera Quartus II EDA软件实现和合成,并在四个实时图像处理平台上进行原型设计。这些平台由一个CMOS相机、四个Terasic FPGA开发套件(带有Altera器件)和一个LCD组成。综合、仿真和实时结果表明,这种架构适合这种设计权衡。
{"title":"Kernel analysis for architecture design trade off in convolution-based image filtering","authors":"J. Y. Mori, C. Llanos, P. Berger","doi":"10.1109/SBCCI.2012.6344453","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344453","url":null,"abstract":"Intending to improve design trade offs in image processing architectures this work presents some kernel analysis for convolution-based image filtering. Some well-known filter kernels have been analyzed in order to identify symmetries and to allow the development of alternative architectures that can contribute to reduce power consumption and/or FPGA resources, maintaining or improving the overall system throughput. The separable kernel technique is also analyzed, and two architectures were developed and tested. Additionally, a technique based on overlapping kernels have been developed, analyzed and tested. All architectures were implemented and synthesized using Altera Quartus II EDA software and prototyped in four real-time image processing platforms. These platforms are composed by a CMOS camera, four Terasic FPGA development kits (with Altera devices) and an LCD. Synthesis, simulations and real-time results show the suitability of such architectures for this kind of design trade off.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129752206","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Design-oriented delay model for CMOS inverter 面向设计的CMOS逆变器延迟模型
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344424
F. Marranghello, A. Reis, R. Ribas
This paper presents a new design oriented model for estimating the delay of a CMOS inverter. The model considers the impact of input transition time, input-to-output coupling capacitance, and physical effects such as drain-induced barrier lowering (DIBL) and velocity saturation. Thus, it is quite suitable for nanometer technologies. Moreover, no fitting parameters are required. Results are in very good agreement with HSPICE simulations based on BSIM4 transistor model over a wide range of input slopes and output loads, considering different inverter configurations. An average error of 3% in correlation to HSPICE has been attained.
本文提出了一种新的面向设计的CMOS逆变器时延估计模型。该模型考虑了输入过渡时间、输入-输出耦合电容以及漏极诱导势垒降低(DIBL)和速度饱和等物理效应的影响。因此,它非常适合于纳米技术。此外,不需要拟合参数。考虑到不同的逆变器配置,结果与基于BSIM4晶体管模型的HSPICE模拟结果非常吻合。与HSPICE相关的平均误差为3%。
{"title":"Design-oriented delay model for CMOS inverter","authors":"F. Marranghello, A. Reis, R. Ribas","doi":"10.1109/SBCCI.2012.6344424","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344424","url":null,"abstract":"This paper presents a new design oriented model for estimating the delay of a CMOS inverter. The model considers the impact of input transition time, input-to-output coupling capacitance, and physical effects such as drain-induced barrier lowering (DIBL) and velocity saturation. Thus, it is quite suitable for nanometer technologies. Moreover, no fitting parameters are required. Results are in very good agreement with HSPICE simulations based on BSIM4 transistor model over a wide range of input slopes and output loads, considering different inverter configurations. An average error of 3% in correlation to HSPICE has been attained.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"07 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127213518","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Hybrid-on-chip communication architecture for dynamic MP-SoC protection 用于动态MP-SoC保护的片上混合通信架构
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344419
Martha Johanna Sepúlveda, G. Gogniat, R. Pires, J. Wang, M. Strum
MPSoCs are able to support multiple applications on the same chip. This flexibility also represents a vulnerability, turning the MPSoC security specially challenging. Most of the current MPSoCs security services are based on symmetric and public-key cryptographic mechanisms. So that, MPSoCs integrate a large set of keys that must be exchanged in an efficient and secure way. In such scenario, any security concept will be ineffective if the key management is weak. In this paper, we present the implementation of an on-chip hybrid communication (HoCs) security-based architecture, that combines bus and Network-on-chip (NoC), to address the efficient and secure key management at MPSoCs. The HoC implements dynamically the QoSS (Quality of Security Service) concept that allows the customization of security. We evaluate the effectiveness of our approach over several MPSoCs attack scenarios and estimate their impact on the overall performance. We show that our architecture can perform a fast detection of a wide range of attacks and a fast configuration of the different security policies for several MPSoC applications. Our hybrid approach saves upto 16% and 25% of communication latency and power consumption, respectively, when compared to the NoC-based architecture without any security.
mpsoc能够在同一芯片上支持多种应用。这种灵活性也代表了一个漏洞,使MPSoC的安全性特别具有挑战性。目前大多数mpsoc安全服务都是基于对称和公钥加密机制。因此,mpsoc集成了大量的密钥,这些密钥必须以有效和安全的方式交换。在这种情况下,如果密钥管理薄弱,任何安全概念都是无效的。在本文中,我们提出了一种基于片上混合通信(hoc)安全架构的实现,该架构结合了总线和片上网络(NoC),以解决mpsoc中高效和安全的密钥管理问题。HoC动态地实现了允许自定义安全性的qos(安全服务质量)概念。我们在几个mpsoc攻击场景中评估了我们的方法的有效性,并估计了它们对整体性能的影响。我们证明了我们的架构可以快速检测各种攻击,并为多个MPSoC应用程序快速配置不同的安全策略。与没有任何安全性的基于noc的架构相比,我们的混合方法分别节省了16%和25%的通信延迟和功耗。
{"title":"Hybrid-on-chip communication architecture for dynamic MP-SoC protection","authors":"Martha Johanna Sepúlveda, G. Gogniat, R. Pires, J. Wang, M. Strum","doi":"10.1109/SBCCI.2012.6344419","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344419","url":null,"abstract":"MPSoCs are able to support multiple applications on the same chip. This flexibility also represents a vulnerability, turning the MPSoC security specially challenging. Most of the current MPSoCs security services are based on symmetric and public-key cryptographic mechanisms. So that, MPSoCs integrate a large set of keys that must be exchanged in an efficient and secure way. In such scenario, any security concept will be ineffective if the key management is weak. In this paper, we present the implementation of an on-chip hybrid communication (HoCs) security-based architecture, that combines bus and Network-on-chip (NoC), to address the efficient and secure key management at MPSoCs. The HoC implements dynamically the QoSS (Quality of Security Service) concept that allows the customization of security. We evaluate the effectiveness of our approach over several MPSoCs attack scenarios and estimate their impact on the overall performance. We show that our architecture can perform a fast detection of a wide range of attacks and a fast configuration of the different security policies for several MPSoC applications. Our hybrid approach saves upto 16% and 25% of communication latency and power consumption, respectively, when compared to the NoC-based architecture without any security.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"87 2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124734477","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Heterogeneous system-level modeling for small and medium enterprises 中小型企业的异构系统级建模
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344450
Seyed-Hosein Attarzadeh-Niaki, Gilmar S. Beserra, N. Andersen, Mathias Verdon, I. Sander
The design of today's electronic embedded systems is an increasingly complicated task. This is especially problematic for Small and Medium Enterprises (SMEs) which have limited resources. In this work, we identify a set of common design practices used in industry, with a special focus on problems faced by smaller companies, and formulate them as design scenarios. We show how SMEs can benefit from a system-level design approach by customizing a formal heterogeneous system modeling framework for each scenario. The applicability of this approach is demonstrated by two industrial use cases, an impulse-radio radar and a UART-based protocol.
当今电子嵌入式系统的设计是一项日益复杂的任务。这对资源有限的中小企业来说尤其成问题。在这项工作中,我们确定了一组在工业中使用的通用设计实践,特别关注小公司面临的问题,并将它们形成设计场景。我们将展示中小企业如何通过为每个场景定制正式的异构系统建模框架,从系统级设计方法中获益。通过两个工业用例,脉冲无线电雷达和基于uart的协议,证明了这种方法的适用性。
{"title":"Heterogeneous system-level modeling for small and medium enterprises","authors":"Seyed-Hosein Attarzadeh-Niaki, Gilmar S. Beserra, N. Andersen, Mathias Verdon, I. Sander","doi":"10.1109/SBCCI.2012.6344450","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344450","url":null,"abstract":"The design of today's electronic embedded systems is an increasingly complicated task. This is especially problematic for Small and Medium Enterprises (SMEs) which have limited resources. In this work, we identify a set of common design practices used in industry, with a special focus on problems faced by smaller companies, and formulate them as design scenarios. We show how SMEs can benefit from a system-level design approach by customizing a formal heterogeneous system modeling framework for each scenario. The applicability of this approach is demonstrated by two industrial use cases, an impulse-radio radar and a UART-based protocol.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124394646","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Multi-bit flip-flop usage impact on physical synthesis 多比特触发器使用对物理合成的影响
Pub Date : 2012-11-12 DOI: 10.1109/SBCCI.2012.6344435
C. Santos, R. Reis, Guilherme Godoi, Marcos Barros, Fabio Duarte
Reducing clock network power is an efficient way to reduce power consumption of the high-frequency ASICs since it accounts for a considerable amount of the dynamic chip power. Recently, the use of multi-bit flip-flops (MBFFs) has been shown to be an effective design technique to improve clock tree synthesis and can be used either as an alternative or in conjunction with the well-known clock gating approach targeting clock power reduction. The idea behind this technique is that clock tree power savings can be achieved by using flip-flop cells with optimized design and also through a reduced clock tree once the number of clock sinks is smaller in a design with MBFF cells. Some recent works have been proposing methods to take advantage of using MBFFs in standard cell based designs, where single-bit flip-flops are replaced by MBFF cells during logic and/or physical syntheses. However, a more complete analysis is still needed for different steps of a design flow to help understanding the impact of MBFFs on the physical design. We present in this work a comprehensive comparison between traditional flip-flop and MBFF implementations of an industrial 55nm design. Our results consider area, power and timing as well as some side effects like clock skew, routing congestion and voltage drop distribution. Finally, this study points to some potential drawbacks of using MBFFs which may be helpful for designers to make trade-off decisions in high performance SoC designs.
降低时钟网络功耗是降低高频专用集成电路功耗的有效途径,因为时钟网络功耗在动态芯片功耗中占有相当大的比重。最近,使用多比特触发器(mbff)已被证明是一种有效的设计技术,以改善时钟树合成,可以作为替代或与众所周知的时钟门控方法结合使用,目标是降低时钟功耗。这种技术背后的思想是,时钟树节能可以通过使用优化设计的触发器单元来实现,也可以通过减少时钟树来实现,一旦时钟接收器的数量在MBFF单元的设计中减少。最近的一些研究提出了在基于标准单元的设计中利用MBFF的方法,在逻辑和/或物理合成过程中,MBFF单元取代了单比特触发器。但是,仍然需要对设计流程的不同步骤进行更完整的分析,以帮助理解mbff对物理设计的影响。在这项工作中,我们对工业55nm设计的传统触发器和MBFF实现进行了全面的比较。我们的结果考虑了面积,功率和时间以及一些副作用,如时钟倾斜,路由拥塞和电压降分布。最后,本研究指出了使用mbff的一些潜在缺点,这可能有助于设计人员在高性能SoC设计中做出权衡决策。
{"title":"Multi-bit flip-flop usage impact on physical synthesis","authors":"C. Santos, R. Reis, Guilherme Godoi, Marcos Barros, Fabio Duarte","doi":"10.1109/SBCCI.2012.6344435","DOIUrl":"https://doi.org/10.1109/SBCCI.2012.6344435","url":null,"abstract":"Reducing clock network power is an efficient way to reduce power consumption of the high-frequency ASICs since it accounts for a considerable amount of the dynamic chip power. Recently, the use of multi-bit flip-flops (MBFFs) has been shown to be an effective design technique to improve clock tree synthesis and can be used either as an alternative or in conjunction with the well-known clock gating approach targeting clock power reduction. The idea behind this technique is that clock tree power savings can be achieved by using flip-flop cells with optimized design and also through a reduced clock tree once the number of clock sinks is smaller in a design with MBFF cells. Some recent works have been proposing methods to take advantage of using MBFFs in standard cell based designs, where single-bit flip-flops are replaced by MBFF cells during logic and/or physical syntheses. However, a more complete analysis is still needed for different steps of a design flow to help understanding the impact of MBFFs on the physical design. We present in this work a comprehensive comparison between traditional flip-flop and MBFF implementations of an industrial 55nm design. Our results consider area, power and timing as well as some side effects like clock skew, routing congestion and voltage drop distribution. Finally, this study points to some potential drawbacks of using MBFFs which may be helpful for designers to make trade-off decisions in high performance SoC designs.","PeriodicalId":311528,"journal":{"name":"2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-11-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130674272","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
期刊
2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1